VERSION 5.6 ; DIVIDERCHAR "/" ; BUSBITCHARS "[]" ; DESIGN gcd ; UNITS DISTANCE MICRONS 2000 ; DIEAREA ( 0 0 ) ( 200260 201600 ) ; ROW ROW_0 FreePDK45_38x28_10R_NP_162NW_34O 20140 22400 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_1 FreePDK45_38x28_10R_NP_162NW_34O 20140 25200 N DO 422 BY 1 STEP 380 0 ; ROW ROW_2 FreePDK45_38x28_10R_NP_162NW_34O 20140 28000 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_3 FreePDK45_38x28_10R_NP_162NW_34O 20140 30800 N DO 422 BY 1 STEP 380 0 ; ROW ROW_4 FreePDK45_38x28_10R_NP_162NW_34O 20140 33600 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_5 FreePDK45_38x28_10R_NP_162NW_34O 20140 36400 N DO 422 BY 1 STEP 380 0 ; ROW ROW_6 FreePDK45_38x28_10R_NP_162NW_34O 20140 39200 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_7 FreePDK45_38x28_10R_NP_162NW_34O 20140 42000 N DO 422 BY 1 STEP 380 0 ; ROW ROW_8 FreePDK45_38x28_10R_NP_162NW_34O 20140 44800 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_9 FreePDK45_38x28_10R_NP_162NW_34O 20140 47600 N DO 422 BY 1 STEP 380 0 ; ROW ROW_10 FreePDK45_38x28_10R_NP_162NW_34O 20140 50400 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_11 FreePDK45_38x28_10R_NP_162NW_34O 20140 53200 N DO 422 BY 1 STEP 380 0 ; ROW ROW_12 FreePDK45_38x28_10R_NP_162NW_34O 20140 56000 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_13 FreePDK45_38x28_10R_NP_162NW_34O 20140 58800 N DO 422 BY 1 STEP 380 0 ; ROW ROW_14 FreePDK45_38x28_10R_NP_162NW_34O 20140 61600 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_15 FreePDK45_38x28_10R_NP_162NW_34O 20140 64400 N DO 422 BY 1 STEP 380 0 ; ROW ROW_16 FreePDK45_38x28_10R_NP_162NW_34O 20140 67200 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_17 FreePDK45_38x28_10R_NP_162NW_34O 20140 70000 N DO 422 BY 1 STEP 380 0 ; ROW ROW_18 FreePDK45_38x28_10R_NP_162NW_34O 20140 72800 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_19 FreePDK45_38x28_10R_NP_162NW_34O 20140 75600 N DO 422 BY 1 STEP 380 0 ; ROW ROW_20 FreePDK45_38x28_10R_NP_162NW_34O 20140 78400 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_21 FreePDK45_38x28_10R_NP_162NW_34O 20140 81200 N DO 422 BY 1 STEP 380 0 ; ROW ROW_22 FreePDK45_38x28_10R_NP_162NW_34O 20140 84000 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_23 FreePDK45_38x28_10R_NP_162NW_34O 20140 86800 N DO 422 BY 1 STEP 380 0 ; ROW ROW_24 FreePDK45_38x28_10R_NP_162NW_34O 20140 89600 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_25 FreePDK45_38x28_10R_NP_162NW_34O 20140 92400 N DO 422 BY 1 STEP 380 0 ; ROW ROW_26 FreePDK45_38x28_10R_NP_162NW_34O 20140 95200 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_27 FreePDK45_38x28_10R_NP_162NW_34O 20140 98000 N DO 422 BY 1 STEP 380 0 ; ROW ROW_28 FreePDK45_38x28_10R_NP_162NW_34O 20140 100800 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_29 FreePDK45_38x28_10R_NP_162NW_34O 20140 103600 N DO 422 BY 1 STEP 380 0 ; ROW ROW_30 FreePDK45_38x28_10R_NP_162NW_34O 20140 106400 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_31 FreePDK45_38x28_10R_NP_162NW_34O 20140 109200 N DO 422 BY 1 STEP 380 0 ; ROW ROW_32 FreePDK45_38x28_10R_NP_162NW_34O 20140 112000 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_33 FreePDK45_38x28_10R_NP_162NW_34O 20140 114800 N DO 422 BY 1 STEP 380 0 ; ROW ROW_34 FreePDK45_38x28_10R_NP_162NW_34O 20140 117600 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_35 FreePDK45_38x28_10R_NP_162NW_34O 20140 120400 N DO 422 BY 1 STEP 380 0 ; ROW ROW_36 FreePDK45_38x28_10R_NP_162NW_34O 20140 123200 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_37 FreePDK45_38x28_10R_NP_162NW_34O 20140 126000 N DO 422 BY 1 STEP 380 0 ; ROW ROW_38 FreePDK45_38x28_10R_NP_162NW_34O 20140 128800 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_39 FreePDK45_38x28_10R_NP_162NW_34O 20140 131600 N DO 422 BY 1 STEP 380 0 ; ROW ROW_40 FreePDK45_38x28_10R_NP_162NW_34O 20140 134400 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_41 FreePDK45_38x28_10R_NP_162NW_34O 20140 137200 N DO 422 BY 1 STEP 380 0 ; ROW ROW_42 FreePDK45_38x28_10R_NP_162NW_34O 20140 140000 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_43 FreePDK45_38x28_10R_NP_162NW_34O 20140 142800 N DO 422 BY 1 STEP 380 0 ; ROW ROW_44 FreePDK45_38x28_10R_NP_162NW_34O 20140 145600 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_45 FreePDK45_38x28_10R_NP_162NW_34O 20140 148400 N DO 422 BY 1 STEP 380 0 ; ROW ROW_46 FreePDK45_38x28_10R_NP_162NW_34O 20140 151200 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_47 FreePDK45_38x28_10R_NP_162NW_34O 20140 154000 N DO 422 BY 1 STEP 380 0 ; ROW ROW_48 FreePDK45_38x28_10R_NP_162NW_34O 20140 156800 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_49 FreePDK45_38x28_10R_NP_162NW_34O 20140 159600 N DO 422 BY 1 STEP 380 0 ; ROW ROW_50 FreePDK45_38x28_10R_NP_162NW_34O 20140 162400 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_51 FreePDK45_38x28_10R_NP_162NW_34O 20140 165200 N DO 422 BY 1 STEP 380 0 ; ROW ROW_52 FreePDK45_38x28_10R_NP_162NW_34O 20140 168000 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_53 FreePDK45_38x28_10R_NP_162NW_34O 20140 170800 N DO 422 BY 1 STEP 380 0 ; ROW ROW_54 FreePDK45_38x28_10R_NP_162NW_34O 20140 173600 FS DO 422 BY 1 STEP 380 0 ; ROW ROW_55 FreePDK45_38x28_10R_NP_162NW_34O 20140 176400 N DO 422 BY 1 STEP 380 0 ; TRACKS X 190 DO 527 STEP 380 LAYER metal1 ; TRACKS Y 140 DO 720 STEP 280 LAYER metal1 ; TRACKS X 190 DO 527 STEP 380 LAYER metal2 ; TRACKS Y 140 DO 720 STEP 280 LAYER metal2 ; TRACKS X 190 DO 527 STEP 380 LAYER metal3 ; TRACKS Y 140 DO 720 STEP 280 LAYER metal3 ; TRACKS X 190 DO 358 STEP 560 LAYER metal4 ; TRACKS Y 140 DO 360 STEP 560 LAYER metal4 ; TRACKS X 190 DO 358 STEP 560 LAYER metal5 ; TRACKS Y 140 DO 360 STEP 560 LAYER metal5 ; TRACKS X 190 DO 358 STEP 560 LAYER metal6 ; TRACKS Y 140 DO 360 STEP 560 LAYER metal6 ; TRACKS X 190 DO 126 STEP 1600 LAYER metal7 ; TRACKS Y 140 DO 126 STEP 1600 LAYER metal7 ; TRACKS X 190 DO 126 STEP 1600 LAYER metal8 ; TRACKS Y 140 DO 126 STEP 1600 LAYER metal8 ; TRACKS X 190 DO 63 STEP 3200 LAYER metal9 ; TRACKS Y 140 DO 63 STEP 3200 LAYER metal9 ; TRACKS X 190 DO 63 STEP 3200 LAYER metal10 ; TRACKS Y 140 DO 63 STEP 3200 LAYER metal10 ; COMPONENTS 314 ; - _297_ INV_X1 ; - _298_ AND3_X1 ; - _299_ XOR2_X1 ; - _300_ NOR4_X1 ; - _301_ INV_X1 ; - _302_ INV_X4 ; - _303_ NOR2_X1 ; - _304_ NAND4_X1 ; - _305_ NOR4_X1 ; - _306_ NOR4_X1 ; - _307_ NAND2_X1 ; - _308_ NOR2_X1 ; - _309_ INV_X1 ; - _310_ INV_X1 ; - _311_ NAND3_X1 ; - _312_ AND2_X1 ; - _313_ OR3_X1 ; - _314_ NAND2_X1 ; - _315_ OAI211_X1 ; - _316_ INV_X2 ; - _317_ BUF_X4 ; - _318_ BUF_X4 ; - _319_ NAND4_X1 ; - _320_ NAND2_X1 ; - _321_ NAND3_X1 ; - _322_ NOR2_X1 ; - _323_ AOI211_X1 ; - _324_ OR3_X1 ; - _325_ BUF_X4 ; - _326_ OAI21_X1 ; - _327_ INV_X1 ; - _328_ NAND2_X1 ; - _329_ XNOR2_X2 ; - _330_ XNOR2_X2 ; - _331_ AND2_X4 ; - _332_ XNOR2_X2 ; - _333_ XNOR2_X2 ; - _334_ AND2_X2 ; - _335_ XNOR2_X2 ; - _336_ XNOR2_X2 ; - _337_ AND2_X4 ; - _338_ NAND3_X2 ; - _339_ INV_X16 ; - _340_ AND2_X4 ; - _341_ NOR2_X1 ; - _342_ INV_X1 ; - _343_ NOR3_X1 ; - _344_ OR3_X4 ; - _345_ INV_X2 ; - _346_ AND3_X1 ; - _347_ INV_X1 ; - _348_ AND2_X1 ; - _349_ OAI21_X1 ; - _350_ INV_X1 ; - _351_ NOR2_X1 ; - _352_ INV_X1 ; - _353_ INV_X16 ; - _354_ NAND3_X1 ; - _355_ AND3_X1 ; - _356_ NOR2_X1 ; - _357_ AOI211_X1 ; - _358_ OAI211_X1 ; - _359_ NAND3_X1 ; - _360_ XNOR2_X2 ; - _361_ XNOR2_X2 ; - _362_ AND2_X4 ; - _363_ XNOR2_X2 ; - _364_ XNOR2_X1 ; - _365_ AND3_X4 ; - _366_ XNOR2_X1 ; - _367_ XNOR2_X2 ; - _368_ NAND2_X1 ; - _369_ XNOR2_X2 ; - _370_ INV_X1 ; - _371_ XNOR2_X2 ; - _372_ INV_X2 ; - _373_ NOR3_X1 ; - _374_ AND2_X1 ; - _375_ NAND2_X1 ; - _376_ INV_X1 ; - _377_ AND3_X1 ; - _378_ INV_X1 ; - _379_ AND2_X1 ; - _380_ OAI21_X1 ; - _381_ INV_X1 ; - _382_ NOR2_X1 ; - _383_ INV_X1 ; - _384_ AOI22_X1 ; - _385_ OAI21_X1 ; - _386_ NAND2_X1 ; - _387_ INV_X1 ; - _388_ NAND3_X1 ; - _389_ AND4_X4 ; - _390_ AND2_X1 ; - _391_ INV_X1 ; - _392_ NOR2_X1 ; - _393_ INV_X1 ; - _394_ AOI22_X1 ; - _395_ OR3_X1 ; - _396_ NAND4_X1 ; - _397_ AND2_X2 ; - _398_ NAND4_X1 ; - _399_ XNOR2_X2 ; - _400_ INV_X1 ; - _401_ NOR3_X4 ; - _402_ AOI21_X4 ; - _403_ NAND2_X4 ; - _404_ OR2_X4 ; - _405_ BUF_X8 ; - _406_ OAI211_X2 ; - _407_ OR2_X1 ; - _408_ BUF_X4 ; - _409_ BUF_X4 ; - _410_ MUX2_X1 ; - _411_ OAI21_X1 ; - _412_ INV_X1 ; - _413_ NOR2_X4 ; - _414_ BUF_X8 ; - _415_ NAND2_X2 ; - _416_ XOR2_X1 ; - _417_ NAND2_X2 ; - _418_ OAI211_X1 ; - _419_ MUX2_X1 ; - _420_ OAI21_X1 ; - _421_ AOI21_X4 ; - _422_ XNOR2_X1 ; - _423_ NAND2_X2 ; - _424_ OAI211_X1 ; - _425_ MUX2_X1 ; - _426_ OAI21_X1 ; - _427_ INV_X4 ; - _428_ AND2_X1 ; - _429_ AND2_X4 ; - _430_ NOR2_X1 ; - _431_ XNOR2_X1 ; - _432_ NAND2_X2 ; - _433_ OAI211_X1 ; - _434_ MUX2_X1 ; - _435_ OAI21_X1 ; - _436_ AND2_X2 ; - _437_ AOI21_X4 ; - _438_ NAND2_X2 ; - _439_ NAND2_X4 ; - _440_ XOR2_X1 ; - _441_ NAND2_X2 ; - _442_ OAI211_X1 ; - _443_ MUX2_X1 ; - _444_ OAI21_X1 ; - _445_ AND2_X1 ; - _446_ NOR2_X1 ; - _447_ NOR3_X1 ; - _448_ AOI221_X4 ; - _449_ NAND2_X2 ; - _450_ OAI211_X1 ; - _451_ MUX2_X1 ; - _452_ OAI21_X1 ; - _453_ NAND2_X1 ; - _454_ OAI21_X1 ; - _455_ AOI21_X1 ; - _456_ XNOR2_X1 ; - _457_ NAND2_X2 ; - _458_ OAI211_X1 ; - _459_ MUX2_X1 ; - _460_ OAI21_X1 ; - _461_ AND2_X1 ; - _462_ NOR2_X4 ; - _463_ NOR3_X1 ; - _464_ NOR2_X1 ; - _465_ XNOR2_X1 ; - _466_ NAND2_X2 ; - _467_ OAI211_X1 ; - _468_ MUX2_X1 ; - _469_ OAI21_X1 ; - _470_ NAND2_X2 ; - _471_ AND2_X2 ; - _472_ AOI221_X2 ; - _473_ NAND2_X2 ; - _474_ XOR2_X1 ; - _475_ NAND2_X2 ; - _476_ OAI211_X1 ; - _477_ MUX2_X1 ; - _478_ OAI21_X1 ; - _479_ AND3_X1 ; - _480_ AND2_X1 ; - _481_ NOR2_X1 ; - _482_ NOR3_X1 ; - _483_ AOI211_X1 ; - _484_ NAND2_X1 ; - _485_ OAI211_X1 ; - _486_ MUX2_X1 ; - _487_ OAI21_X1 ; - _488_ NOR2_X1 ; - _489_ AOI21_X1 ; - _490_ INV_X1 ; - _491_ NOR2_X1 ; - _492_ XNOR2_X1 ; - _493_ NAND2_X1 ; - _494_ OAI211_X1 ; - _495_ MUX2_X1 ; - _496_ OAI21_X1 ; - _497_ OAI21_X2 ; - _498_ OAI21_X1 ; - _499_ NOR2_X1 ; - _500_ INV_X1 ; - _501_ AND2_X1 ; - _502_ XNOR2_X1 ; - _503_ AND2_X2 ; - _504_ OAI21_X1 ; - _505_ OAI21_X1 ; - _506_ AND2_X2 ; - _507_ INV_X2 ; - _508_ NAND2_X1 ; - _509_ AOI21_X1 ; - _510_ AND3_X4 ; - _511_ XNOR2_X1 ; - _512_ NAND2_X1 ; - _513_ OAI21_X1 ; - _514_ OAI211_X1 ; - _515_ MUX2_X1 ; - _516_ NOR2_X2 ; - _517_ NOR2_X1 ; - _518_ NOR2_X2 ; - _519_ XNOR2_X1 ; - _520_ NAND2_X1 ; - _521_ OAI21_X1 ; - _522_ OAI211_X1 ; - _523_ MUX2_X1 ; - _524_ OR3_X4 ; - _525_ INV_X1 ; - _526_ AOI22_X1 ; - _527_ AND3_X1 ; - _528_ AOI21_X4 ; - _529_ NOR2_X1 ; - _530_ NAND2_X1 ; - _531_ OAI21_X1 ; - _532_ OAI211_X1 ; - _533_ MUX2_X1 ; - _534_ NOR2_X1 ; - _535_ NOR2_X2 ; - _536_ XNOR2_X1 ; - _537_ AND2_X2 ; - _538_ OAI21_X1 ; - _539_ OAI21_X2 ; - _540_ OAI21_X1 ; - _541_ OAI21_X1 ; - _542_ MUX2_X1 ; - _543_ NAND2_X4 ; - _544_ BUF_X4 ; - _545_ MUX2_X1 ; - _546_ MUX2_X1 ; - _547_ MUX2_X1 ; - _548_ MUX2_X1 ; - _549_ MUX2_X1 ; - _550_ MUX2_X1 ; - _551_ MUX2_X1 ; - _552_ MUX2_X1 ; - _553_ MUX2_X1 ; - _554_ MUX2_X1 ; - _555_ MUX2_X1 ; - _556_ MUX2_X1 ; - _557_ MUX2_X1 ; - _558_ MUX2_X1 ; - _559_ MUX2_X1 ; - _560_ MUX2_X1 ; - _561_ MUX2_X1 ; - _562_ MUX2_X1 ; - _563_ MUX2_X1 ; - _564_ MUX2_X1 ; - _565_ MUX2_X1 ; - _566_ MUX2_X1 ; - _567_ MUX2_X1 ; - _568_ MUX2_X1 ; - _569_ MUX2_X1 ; - _570_ MUX2_X1 ; - _571_ MUX2_X1 ; - _572_ MUX2_X1 ; - _573_ MUX2_X1 ; - _574_ MUX2_X1 ; - _575_ MUX2_X1 ; - _576_ DFF_X1 ; - _577_ DFF_X1 ; - _578_ DFF_X1 ; - _579_ DFF_X1 ; - _580_ DFF_X1 ; - _581_ DFF_X1 ; - _582_ DFF_X1 ; - _583_ DFF_X1 ; - _584_ DFF_X1 ; - _585_ DFF_X1 ; - _586_ DFF_X1 ; - _587_ DFF_X1 ; - _588_ DFF_X1 ; - _589_ DFF_X1 ; - _590_ DFF_X1 ; - _591_ DFF_X1 ; - _592_ DFF_X1 ; - _593_ DFF_X1 ; - _594_ DFF_X1 ; - _595_ DFF_X1 ; - _596_ DFF_X1 ; - _597_ DFF_X1 ; - _598_ DFF_X1 ; - _599_ DFF_X1 ; - _600_ DFF_X1 ; - _601_ DFF_X1 ; - _602_ DFF_X1 ; - _603_ DFF_X1 ; - _604_ DFF_X1 ; - _605_ DFF_X1 ; - _606_ DFF_X1 ; - _607_ DFF_X1 ; - _608_ DFF_X1 ; - _609_ DFF_X1 ; - _610_ DFF_X1 ; - PHY_57 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 22400 ) FS ; - PHY_59 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 25200 ) N ; - PHY_61 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 28000 ) FS ; - PHY_63 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 30800 ) N ; - PHY_65 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 33600 ) FS ; - PHY_67 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 36400 ) N ; - PHY_69 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 39200 ) FS ; - PHY_71 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 42000 ) N ; - PHY_73 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 44800 ) FS ; - PHY_75 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 47600 ) N ; - PHY_77 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 50400 ) FS ; - PHY_79 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 53200 ) N ; - PHY_81 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 56000 ) FS ; - PHY_83 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 58800 ) N ; - PHY_85 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 61600 ) FS ; - PHY_87 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 64400 ) N ; - PHY_89 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 67200 ) FS ; - PHY_91 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 70000 ) N ; - PHY_93 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 72800 ) FS ; - PHY_95 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 75600 ) N ; - PHY_97 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 78400 ) FS ; - PHY_99 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 81200 ) N ; - PHY_101 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 84000 ) FS ; - PHY_103 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 86800 ) N ; - PHY_105 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 89600 ) FS ; - PHY_107 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 92400 ) N ; - PHY_109 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 95200 ) FS ; - PHY_111 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 98000 ) N ; - PHY_113 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 100800 ) FS ; - PHY_115 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 103600 ) N ; - PHY_117 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 106400 ) FS ; - PHY_119 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 109200 ) N ; - PHY_121 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 112000 ) FS ; - PHY_123 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 114800 ) N ; - PHY_125 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 117600 ) FS ; - PHY_127 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 120400 ) N ; - PHY_129 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 123200 ) FS ; - PHY_131 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 126000 ) N ; - PHY_133 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 128800 ) FS ; - PHY_135 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 131600 ) N ; - PHY_137 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 134400 ) FS ; - PHY_139 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 137200 ) N ; - PHY_141 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 140000 ) FS ; - PHY_143 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 142800 ) N ; - PHY_145 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 145600 ) FS ; - PHY_147 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 148400 ) N ; - PHY_149 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 151200 ) FS ; - PHY_151 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 154000 ) N ; - PHY_153 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 156800 ) FS ; - PHY_155 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 159600 ) N ; - PHY_157 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 162400 ) FS ; - PHY_159 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 165200 ) N ; - PHY_161 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 168000 ) FS ; - PHY_163 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 170800 ) N ; - PHY_165 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 173600 ) FS ; - PHY_167 FILLCELL_X1 + SOURCE DIST + FIXED ( 20140 176400 ) N ; - PHY_1 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 22400 ) FS ; - PHY_10 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 47600 ) N ; - PHY_11 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 50400 ) FS ; - PHY_12 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 53200 ) N ; - PHY_13 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 56000 ) FS ; - PHY_14 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 58800 ) N ; - PHY_15 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 61600 ) FS ; - PHY_16 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 64400 ) N ; - PHY_17 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 67200 ) FS ; - PHY_18 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 70000 ) N ; - PHY_19 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 72800 ) FS ; - PHY_2 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 25200 ) N ; - PHY_20 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 75600 ) N ; - PHY_21 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 78400 ) FS ; - PHY_22 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 81200 ) N ; - PHY_23 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 84000 ) FS ; - PHY_24 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 86800 ) N ; - PHY_25 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 89600 ) FS ; - PHY_26 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 92400 ) N ; - PHY_27 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 95200 ) FS ; - PHY_28 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 98000 ) N ; - PHY_29 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 100800 ) FS ; - PHY_3 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 28000 ) FS ; - PHY_30 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 103600 ) N ; - PHY_31 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 106400 ) FS ; - PHY_32 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 109200 ) N ; - PHY_33 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 112000 ) FS ; - PHY_34 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 114800 ) N ; - PHY_35 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 117600 ) FS ; - PHY_36 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 120400 ) N ; - PHY_37 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 123200 ) FS ; - PHY_38 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 126000 ) N ; - PHY_39 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 128800 ) FS ; - PHY_4 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 30800 ) N ; - PHY_40 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 131600 ) N ; - PHY_41 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 134400 ) FS ; - PHY_42 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 137200 ) N ; - PHY_43 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 140000 ) FS ; - PHY_44 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 142800 ) N ; - PHY_45 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 145600 ) FS ; - PHY_46 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 148400 ) N ; - PHY_47 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 151200 ) FS ; - PHY_48 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 154000 ) N ; - PHY_49 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 156800 ) FS ; - PHY_5 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 33600 ) FS ; - PHY_50 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 159600 ) N ; - PHY_51 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 162400 ) FS ; - PHY_52 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 165200 ) N ; - PHY_53 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 168000 ) FS ; - PHY_54 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 170800 ) N ; - PHY_55 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 173600 ) FS ; - PHY_56 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 176400 ) N ; - PHY_6 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 36400 ) N ; - PHY_7 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 39200 ) FS ; - PHY_8 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 42000 ) N ; - PHY_9 FILLCELL_X1 + SOURCE DIST + FIXED ( 100320 44800 ) FS ; - PHY_100 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 81200 ) FN ; - PHY_102 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 84000 ) S ; - PHY_104 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 86800 ) FN ; - PHY_106 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 89600 ) S ; - PHY_108 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 92400 ) FN ; - PHY_110 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 95200 ) S ; - PHY_112 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 98000 ) FN ; - PHY_114 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 100800 ) S ; - PHY_116 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 103600 ) FN ; - PHY_118 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 106400 ) S ; - PHY_120 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 109200 ) FN ; - PHY_122 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 112000 ) S ; - PHY_124 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 114800 ) FN ; - PHY_126 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 117600 ) S ; - PHY_128 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 120400 ) FN ; - PHY_130 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 123200 ) S ; - PHY_132 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 126000 ) FN ; - PHY_134 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 128800 ) S ; - PHY_136 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 131600 ) FN ; - PHY_138 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 134400 ) S ; - PHY_140 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 137200 ) FN ; - PHY_142 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 140000 ) S ; - PHY_144 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 142800 ) FN ; - PHY_146 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 145600 ) S ; - PHY_148 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 148400 ) FN ; - PHY_150 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 151200 ) S ; - PHY_152 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 154000 ) FN ; - PHY_154 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 156800 ) S ; - PHY_156 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 159600 ) FN ; - PHY_158 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 162400 ) S ; - PHY_160 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 165200 ) FN ; - PHY_162 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 168000 ) S ; - PHY_164 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 170800 ) FN ; - PHY_166 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 173600 ) S ; - PHY_168 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 176400 ) FN ; - PHY_58 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 22400 ) S ; - PHY_60 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 25200 ) FN ; - PHY_62 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 28000 ) S ; - PHY_64 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 30800 ) FN ; - PHY_66 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 33600 ) S ; - PHY_68 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 36400 ) FN ; - PHY_70 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 39200 ) S ; - PHY_72 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 42000 ) FN ; - PHY_74 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 44800 ) S ; - PHY_76 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 47600 ) FN ; - PHY_78 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 50400 ) S ; - PHY_80 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 53200 ) FN ; - PHY_82 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 56000 ) S ; - PHY_84 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 58800 ) FN ; - PHY_86 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 61600 ) S ; - PHY_88 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 64400 ) FN ; - PHY_90 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 67200 ) S ; - PHY_92 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 70000 ) FN ; - PHY_94 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 72800 ) S ; - PHY_96 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 75600 ) FN ; - PHY_98 FILLCELL_X1 + SOURCE DIST + FIXED ( 180120 78400 ) S ; END COMPONENTS PINS 54 ; - clk + NET clk + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 121150 201600 ) S ; - req_msg[31] + NET req_msg[31] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 149100 ) W ; - req_msg[30] + NET req_msg[30] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 133420 ) E ; - req_msg[29] + NET req_msg[29] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 46060 ) E ; - req_msg[28] + NET req_msg[28] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 32620 ) W ; - req_msg[27] + NET req_msg[27] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 131230 0 ) N ; - req_msg[26] + NET req_msg[26] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 61740 ) W ; - req_msg[25] + NET req_msg[25] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 104300 ) E ; - req_msg[24] + NET req_msg[24] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 29310 0 ) N ; - req_msg[23] + NET req_msg[23] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 43870 0 ) N ; - req_msg[22] + NET req_msg[22] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 60620 ) E ; - req_msg[21] + NET req_msg[21] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 191660 ) E ; - req_msg[20] + NET req_msg[20] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 189470 0 ) N ; - req_msg[19] + NET req_msg[19] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 164830 201600 ) S ; - req_msg[18] + NET req_msg[18] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 19230 201600 ) S ; - req_msg[17] + NET req_msg[17] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 177100 ) E ; - req_msg[16] + NET req_msg[16] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 75180 ) E ; - req_msg[15] + NET req_msg[15] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 134540 ) W ; - req_msg[14] + NET req_msg[14] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 3500 ) W ; - req_msg[13] + NET req_msg[13] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 119980 ) W ; - req_msg[12] + NET req_msg[12] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 116670 0 ) N ; - req_msg[11] + NET req_msg[11] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 62910 201600 ) S ; - req_msg[10] + NET req_msg[10] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 89740 ) E ; - req_msg[9] + NET req_msg[9] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 18060 ) W ; - req_msg[8] + NET req_msg[8] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 33790 201600 ) S ; - req_msg[7] + NET req_msg[7] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 118860 ) E ; - req_msg[6] + NET req_msg[6] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 47180 ) W ; - req_msg[5] + NET req_msg[5] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 160350 0 ) N ; - req_msg[4] + NET req_msg[4] + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 162540 ) E ; - req_msg[3] + NET req_msg[3] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 77470 201600 ) S ; - req_msg[2] + NET req_msg[2] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 48350 201600 ) S ; - req_msg[1] + NET req_msg[1] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 102110 0 ) N ; - req_msg[0] + NET req_msg[0] + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 92030 201600 ) S ; - req_rdy + NET req_rdy + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 135710 201600 ) S ; - req_val + NET req_val + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 106590 201600 ) S ; - reset + NET reset + DIRECTION INPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 14750 0 ) N ; - resp_msg[15] + NET resp_msg[15] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 163660 ) W ; - resp_msg[14] + NET resp_msg[14] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 87550 0 ) N ; - resp_msg[13] + NET resp_msg[13] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 193950 201600 ) S ; - resp_msg[12] + NET resp_msg[12] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 192780 ) W ; - resp_msg[11] + NET resp_msg[11] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 179390 201600 ) S ; - resp_msg[10] + NET resp_msg[10] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 190 0 ) N ; - resp_msg[9] + NET resp_msg[9] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 105420 ) W ; - resp_msg[8] + NET resp_msg[8] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 4670 201600 ) S ; - resp_msg[7] + NET resp_msg[7] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 72990 0 ) N ; - resp_msg[6] + NET resp_msg[6] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 90860 ) W ; - resp_msg[5] + NET resp_msg[5] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 76300 ) W ; - resp_msg[4] + NET resp_msg[4] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 58430 0 ) N ; - resp_msg[3] + NET resp_msg[3] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 150270 201600 ) S ; - resp_msg[2] + NET resp_msg[2] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 145790 0 ) N ; - resp_msg[1] + NET resp_msg[1] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 147980 ) E ; - resp_msg[0] + NET resp_msg[0] + DIRECTION OUTPUT + USE SIGNAL + LAYER metal6 ( -140 0 ) ( 140 280 ) + FIXED ( 174910 0 ) N ; - resp_rdy + NET resp_rdy + DIRECTION INPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 200260 178220 ) W ; - resp_val + NET resp_val + DIRECTION OUTPUT + USE SIGNAL + LAYER metal5 ( -140 0 ) ( 140 280 ) + FIXED ( 0 31500 ) E ; END PINS NETS 385 ; - _000_ ( _324_ ZN ) ( _576_ D ) ; - _001_ ( _314_ ZN ) ( _577_ D ) ; - _002_ ( _320_ ZN ) ( _578_ D ) ; - _003_ ( _313_ A3 ) ( _322_ A2 ) ( _577_ QN ) ; - _004_ ( _310_ A ) ( _578_ QN ) ; - _005_ ( _298_ A3 ) ( _316_ A ) ( _323_ B ) ( _390_ A2 ) ( _543_ A2 ) ( _576_ QN ) ; - _006_ ( _406_ C2 ) ( _595_ QN ) ; - _007_ ( _418_ C2 ) ( _596_ QN ) ; - _008_ ( _424_ C2 ) ( _597_ QN ) ; - _009_ ( _433_ C2 ) ( _598_ QN ) ; - _010_ ( _442_ C2 ) ( _599_ QN ) ; - _011_ ( _450_ C2 ) ( _600_ QN ) ; - _012_ ( _458_ C2 ) ( _601_ QN ) ; - _013_ ( _467_ C2 ) ( _602_ QN ) ; - _014_ ( _476_ C2 ) ( _603_ QN ) ; - _015_ ( _485_ C2 ) ( _604_ QN ) ; - _016_ ( _494_ C2 ) ( _605_ QN ) ; - _017_ ( _497_ B2 ) ( _606_ QN ) ; - _018_ ( _514_ C2 ) ( _607_ QN ) ; - _019_ ( _522_ C1 ) ( _526_ B2 ) ( _608_ QN ) ; - _020_ ( _532_ C1 ) ( _609_ QN ) ; - _021_ ( _539_ B2 ) ( _610_ QN ) ; - _022_ ( _410_ Z ) ( _579_ D ) ; - _023_ ( _495_ Z ) ( _589_ D ) ; - _024_ ( _505_ ZN ) ( _590_ D ) ; - _025_ ( _515_ Z ) ( _591_ D ) ; - _026_ ( _523_ Z ) ( _592_ D ) ; - _027_ ( _533_ Z ) ( _593_ D ) ; - _028_ ( _541_ ZN ) ( _594_ D ) ; - _029_ ( _419_ Z ) ( _580_ D ) ; - _030_ ( _425_ Z ) ( _581_ D ) ; - _031_ ( _434_ Z ) ( _582_ D ) ; - _032_ ( _443_ Z ) ( _583_ D ) ; - _033_ ( _451_ Z ) ( _584_ D ) ; - _034_ ( _459_ Z ) ( _585_ D ) ; - _035_ ( _468_ Z ) ( _586_ D ) ; - _036_ ( _477_ Z ) ( _587_ D ) ; - _037_ ( _486_ Z ) ( _588_ D ) ; - _038_ ( _545_ Z ) ( _595_ D ) ; - _039_ ( _565_ Z ) ( _605_ D ) ; - _040_ ( _567_ Z ) ( _606_ D ) ; - _041_ ( _569_ Z ) ( _607_ D ) ; - _042_ ( _571_ Z ) ( _608_ D ) ; - _043_ ( _573_ Z ) ( _609_ D ) ; - _044_ ( _575_ Z ) ( _610_ D ) ; - _045_ ( _547_ Z ) ( _596_ D ) ; - _046_ ( _549_ Z ) ( _597_ D ) ; - _047_ ( _551_ Z ) ( _598_ D ) ; - _048_ ( _553_ Z ) ( _599_ D ) ; - _049_ ( _555_ Z ) ( _600_ D ) ; - _050_ ( _557_ Z ) ( _601_ D ) ; - _051_ ( _559_ Z ) ( _602_ D ) ; - _052_ ( _561_ Z ) ( _603_ D ) ; - _053_ ( _563_ Z ) ( _604_ D ) ; - _054_ ( _297_ ZN ) ( _298_ A1 ) ( _325_ A ) ( _469_ B1 ) ( _478_ B1 ) ( _487_ B1 ) ( _513_ B1 ) ( _521_ B1 ) ( _531_ B1 ) ; - _055_ ( _300_ ZN ) ( _304_ A1 ) ; - _056_ ( _301_ ZN ) ( _304_ A2 ) ( _356_ A1 ) ( _357_ C2 ) ( _437_ B2 ) ; - _057_ ( _302_ ZN ) ( _304_ A3 ) ( _357_ B ) ( _429_ A1 ) ; - _058_ ( _303_ ZN ) ( _304_ A4 ) ; - _059_ ( _304_ ZN ) ( _308_ A1 ) ( _315_ C1 ) ; - _060_ ( _305_ ZN ) ( _307_ A1 ) ; - _061_ ( _306_ ZN ) ( _307_ A2 ) ; - _062_ ( _307_ ZN ) ( _308_ A2 ) ( _315_ C2 ) ; - _063_ ( _308_ ZN ) ( _311_ A1 ) ; - _064_ ( _309_ ZN ) ( _311_ A2 ) ( _315_ A ) ( _319_ A1 ) ( _321_ A2 ) ; - _065_ ( _310_ ZN ) ( _311_ A3 ) ( _315_ B ) ; - _066_ ( _311_ ZN ) ( _314_ A1 ) ; - _067_ ( _312_ ZN ) ( _313_ A1 ) ; - _068_ ( _313_ ZN ) ( _314_ A2 ) ; - _069_ ( _315_ ZN ) ( _320_ A1 ) ; - _070_ ( _316_ ZN ) ( _317_ A ) ( _404_ A2 ) ( _460_ B2 ) ( _469_ B2 ) ( _478_ B2 ) ( _487_ B2 ) ( _513_ B2 ) ( _521_ B2 ) ( _531_ B2 ) ; - _071_ ( _317_ Z ) ( _319_ A2 ) ( _326_ B2 ) ( _411_ B2 ) ( _420_ B2 ) ( _426_ B2 ) ( _435_ B2 ) ( _444_ B2 ) ( _452_ B2 ) ( _496_ B2 ) ( _538_ B2 ) ; - _072_ ( _318_ Z ) ( _319_ A3 ) ( _323_ C1 ) ( _542_ S ) ( _546_ S ) ( _548_ S ) ( _550_ S ) ( _552_ S ) ( _554_ S ) ( _556_ S ) ( _558_ S ) ; - _073_ ( _319_ ZN ) ( _320_ A2 ) ; - _074_ ( _321_ ZN ) ( _322_ A1 ) ; - _075_ ( _322_ ZN ) ( _324_ A1 ) ; - _076_ ( _323_ ZN ) ( _324_ A3 ) ; - _077_ ( _325_ Z ) ( _326_ B1 ) ( _411_ B1 ) ( _420_ B1 ) ( _426_ B1 ) ( _435_ B1 ) ( _444_ B1 ) ( _452_ B1 ) ( _460_ B1 ) ( _496_ B1 ) ( _538_ B1 ) ; - _078_ ( _326_ ZN ) ( _406_ A ) ; - _079_ ( _327_ ZN ) ( _328_ A1 ) ( _541_ B1 ) ; - _080_ ( _328_ ZN ) ( _389_ A1 ) ; - _081_ ( _329_ ZN ) ( _331_ A1 ) ( _354_ A1 ) ( _465_ B ) ( _471_ A1 ) ; - _082_ ( _330_ ZN ) ( _331_ A2 ) ( _456_ B ) ; - _083_ ( _331_ ZN ) ( _338_ A1 ) ( _349_ A ) ( _358_ A ) ( _397_ A1 ) ( _472_ C2 ) ; - _084_ ( _332_ ZN ) ( _334_ A1 ) ( _431_ B ) ( _436_ A1 ) ; - _085_ ( _333_ ZN ) ( _334_ A2 ) ( _422_ B ) ( _428_ A2 ) ; - _086_ ( _334_ ZN ) ( _338_ A2 ) ( _398_ A4 ) ( _438_ A2 ) ; - _087_ ( _335_ ZN ) ( _337_ A1 ) ( _346_ A1 ) ( _447_ A2 ) ( _448_ B1 ) ( _453_ A1 ) ; - _088_ ( _336_ ZN ) ( _337_ A2 ) ( _440_ B ) ( _445_ A2 ) ; - _089_ ( _337_ ZN ) ( _338_ A3 ) ( _358_ B ) ( _397_ A2 ) ( _448_ C1 ) ( _455_ B2 ) ; - _090_ ( _338_ ZN ) ( _344_ A1 ) ; - _091_ ( _339_ ZN ) ( _340_ A1 ) ( _341_ A1 ) ; - _092_ ( _340_ ZN ) ( _344_ A2 ) ( _421_ A ) ; - _093_ ( _341_ ZN ) ( _343_ A1 ) ; - _094_ ( _342_ ZN ) ( _343_ A2 ) ( _415_ A1 ) ; - _095_ ( _343_ ZN ) ( _344_ A3 ) ; - _096_ ( _344_ ZN ) ( _359_ A1 ) ; - _097_ ( _345_ ZN ) ( _346_ A2 ) ( _446_ A1 ) ; - _098_ ( _346_ ZN ) ( _349_ B1 ) ; - _099_ ( _347_ ZN ) ( _348_ A1 ) ( _454_ B1 ) ; - _100_ ( _348_ ZN ) ( _349_ B2 ) ; - _101_ ( _349_ ZN ) ( _355_ A1 ) ; - _102_ ( _350_ ZN ) ( _351_ A1 ) ( _472_ B2 ) ; - _103_ ( _351_ ZN ) ( _352_ A ) ; - _104_ ( _352_ ZN ) ( _355_ A2 ) ; - _105_ ( _353_ ZN ) ( _354_ A2 ) ( _461_ A1 ) ( _462_ A1 ) ; - _106_ ( _354_ ZN ) ( _355_ A3 ) ; - _107_ ( _355_ ZN ) ( _359_ A2 ) ; - _108_ ( _356_ ZN ) ( _358_ C1 ) ; - _109_ ( _357_ ZN ) ( _358_ C2 ) ; - _110_ ( _358_ ZN ) ( _359_ A3 ) ; - _111_ ( _359_ ZN ) ( _375_ A1 ) ; - _112_ ( _360_ ZN ) ( _362_ A1 ) ( _492_ B ) ( _498_ A ) ; - _113_ ( _361_ ZN ) ( _362_ A2 ) ( _502_ B ) ( _509_ B1 ) ; - _114_ ( _362_ ZN ) ( _365_ A1 ) ( _380_ A ) ( _508_ A2 ) ; - _115_ ( _363_ ZN ) ( _365_ A2 ) ( _377_ A1 ) ( _479_ A2 ) ( _482_ A2 ) ( _483_ C1 ) ( _489_ B1 ) ; - _116_ ( _364_ ZN ) ( _365_ A3 ) ( _474_ B ) ( _479_ A3 ) ( _480_ A2 ) ; - _117_ ( _365_ ZN ) ( _374_ A1 ) ( _398_ A1 ) ( _506_ A2 ) ; - _118_ ( _366_ ZN ) ( _368_ A1 ) ( _525_ A ) ; - _119_ ( _367_ ZN ) ( _368_ A2 ) ( _388_ A1 ) ( _536_ B ) ; - _120_ ( _368_ ZN ) ( _373_ A1 ) ( _395_ A1 ) ; - _121_ ( _369_ ZN ) ( _370_ A ) ( _519_ B ) ( _526_ A1 ) ; - _122_ ( _370_ ZN ) ( _373_ A2 ) ( _524_ A2 ) ; - _123_ ( _371_ ZN ) ( _372_ A ) ( _511_ B ) ; - _124_ ( _372_ ZN ) ( _373_ A3 ) ( _516_ A2 ) ( _524_ A3 ) ; - _125_ ( _373_ ZN ) ( _374_ A2 ) ( _386_ A2 ) ( _398_ A3 ) ; - _126_ ( _374_ ZN ) ( _375_ A2 ) ; - _127_ ( _375_ ZN ) ( _389_ A2 ) ; - _128_ ( _376_ ZN ) ( _377_ A2 ) ( _481_ A1 ) ; - _129_ ( _377_ ZN ) ( _380_ B1 ) ; - _130_ ( _378_ ZN ) ( _379_ A1 ) ( _488_ A1 ) ; - _131_ ( _379_ ZN ) ( _380_ B2 ) ; - _132_ ( _380_ ZN ) ( _385_ A ) ; - _133_ ( _381_ ZN ) ( _382_ A1 ) ( _384_ A1 ) ( _505_ B1 ) ; - _134_ ( _382_ ZN ) ( _385_ B1 ) ( _509_ A ) ; - _135_ ( _383_ ZN ) ( _384_ B1 ) ( _499_ A1 ) ; - _136_ ( _384_ ZN ) ( _385_ B2 ) ; - _137_ ( _385_ ZN ) ( _386_ A1 ) ; - _138_ ( _386_ ZN ) ( _389_ A3 ) ; - _139_ ( _387_ ZN ) ( _388_ A2 ) ( _534_ A1 ) ; - _140_ ( _388_ ZN ) ( _389_ A4 ) ; - _141_ ( _389_ ZN ) ( _396_ A1 ) ( _402_ B1 ) ; - _142_ ( _390_ ZN ) ( _396_ A3 ) ( _412_ A ) ; - _143_ ( _391_ ZN ) ( _392_ A1 ) ( _394_ A1 ) ; - _144_ ( _392_ ZN ) ( _395_ A2 ) ; - _145_ ( _393_ ZN ) ( _394_ B1 ) ( _517_ A1 ) ; - _146_ ( _394_ ZN ) ( _395_ A3 ) ; - _147_ ( _395_ ZN ) ( _396_ A4 ) ( _402_ B2 ) ; - _148_ ( _396_ ZN ) ( _406_ B ) ; - _149_ ( _397_ ZN ) ( _398_ A2 ) ( _470_ A2 ) ; - _150_ ( _398_ ZN ) ( _401_ A1 ) ; - _151_ ( _399_ ZN ) ( _400_ A ) ( _416_ A ) ( _421_ B1 ) ; - _152_ ( _400_ ZN ) ( _401_ A3 ) ; - _153_ ( _401_ ZN ) ( _402_ A ) ; - _154_ ( _402_ ZN ) ( _403_ A1 ) ( _413_ A1 ) ; - _155_ ( _403_ ZN ) ( _404_ A1 ) ( _543_ A1 ) ; - _156_ ( _404_ ZN ) ( _405_ A ) ( _476_ C1 ) ( _485_ C1 ) ( _494_ C1 ) ( _514_ C1 ) ( _522_ C2 ) ( _532_ C2 ) ; - _157_ ( _405_ Z ) ( _406_ C1 ) ( _418_ C1 ) ( _424_ C1 ) ( _433_ C1 ) ( _442_ C1 ) ( _450_ C1 ) ( _458_ C1 ) ( _467_ C1 ) ( _497_ B1 ) ( _539_ B1 ) ; - _158_ ( _406_ ZN ) ( _410_ B ) ; - _159_ ( _407_ ZN ) ( _408_ A ) ; - _160_ ( _408_ Z ) ( _409_ A ) ( _459_ S ) ( _468_ S ) ( _477_ S ) ( _486_ S ) ( _495_ S ) ( _515_ S ) ( _523_ S ) ( _533_ S ) ; - _161_ ( _409_ Z ) ( _410_ S ) ( _419_ S ) ( _425_ S ) ( _434_ S ) ( _443_ S ) ( _451_ S ) ( _504_ A ) ( _505_ B2 ) ( _540_ A ) ( _541_ B2 ) ; - _162_ ( _411_ ZN ) ( _418_ A ) ; - _163_ ( _412_ ZN ) ( _413_ A2 ) ; - _164_ ( _413_ ZN ) ( _414_ A ) ( _484_ A2 ) ( _493_ A1 ) ( _512_ A2 ) ( _520_ A2 ) ( _530_ A2 ) ; - _165_ ( _414_ Z ) ( _417_ A1 ) ( _423_ A1 ) ( _432_ A1 ) ( _441_ A1 ) ( _449_ A1 ) ( _457_ A1 ) ( _466_ A1 ) ( _475_ A1 ) ( _503_ A2 ) ( _537_ A2 ) ; - _166_ ( _415_ ZN ) ( _416_ B ) ( _421_ B2 ) ; - _167_ ( _417_ ZN ) ( _418_ B ) ; - _168_ ( _418_ ZN ) ( _419_ B ) ; - _169_ ( _420_ ZN ) ( _424_ A ) ; - _170_ ( _421_ ZN ) ( _422_ A ) ( _427_ A ) ; - _171_ ( _423_ ZN ) ( _424_ B ) ; - _172_ ( _424_ ZN ) ( _425_ B ) ; - _173_ ( _426_ ZN ) ( _433_ A ) ; - _174_ ( _427_ ZN ) ( _428_ A1 ) ( _438_ A1 ) ; - _175_ ( _428_ ZN ) ( _430_ A1 ) ; - _176_ ( _429_ ZN ) ( _430_ A2 ) ( _436_ A2 ) ; - _177_ ( _430_ ZN ) ( _431_ A ) ; - _178_ ( _432_ ZN ) ( _433_ B ) ; - _179_ ( _433_ ZN ) ( _434_ B ) ; - _180_ ( _435_ ZN ) ( _442_ A ) ; - _181_ ( _436_ ZN ) ( _437_ A ) ; - _182_ ( _437_ ZN ) ( _439_ A1 ) ; - _183_ ( _438_ ZN ) ( _439_ A2 ) ; - _184_ ( _439_ ZN ) ( _440_ A ) ( _445_ A1 ) ( _448_ C2 ) ( _455_ B1 ) ( _470_ A1 ) ; - _185_ ( _441_ ZN ) ( _442_ B ) ; - _186_ ( _442_ ZN ) ( _443_ B ) ; - _187_ ( _444_ ZN ) ( _450_ A ) ; - _188_ ( _445_ ZN ) ( _447_ A1 ) ; - _189_ ( _446_ ZN ) ( _447_ A3 ) ( _448_ B2 ) ( _453_ A2 ) ; - _190_ ( _447_ ZN ) ( _448_ A ) ; - _191_ ( _449_ ZN ) ( _450_ B ) ; - _192_ ( _450_ ZN ) ( _451_ B ) ; - _193_ ( _452_ ZN ) ( _458_ A ) ; - _194_ ( _453_ ZN ) ( _454_ A ) ; - _195_ ( _454_ ZN ) ( _455_ A ) ( _472_ C1 ) ; - _196_ ( _455_ ZN ) ( _456_ A ) ( _463_ A1 ) ; - _197_ ( _457_ ZN ) ( _458_ B ) ; - _198_ ( _458_ ZN ) ( _459_ B ) ; - _199_ ( _460_ ZN ) ( _467_ A ) ; - _200_ ( _461_ ZN ) ( _463_ A2 ) ; - _201_ ( _462_ ZN ) ( _463_ A3 ) ( _464_ A2 ) ( _471_ A2 ) ; - _202_ ( _463_ ZN ) ( _464_ A1 ) ; - _203_ ( _464_ ZN ) ( _465_ A ) ; - _204_ ( _466_ ZN ) ( _467_ B ) ; - _205_ ( _467_ ZN ) ( _468_ B ) ; - _206_ ( _469_ ZN ) ( _476_ A ) ; - _207_ ( _470_ ZN ) ( _473_ A1 ) ; - _208_ ( _471_ ZN ) ( _472_ A ) ; - _209_ ( _472_ ZN ) ( _473_ A2 ) ; - _210_ ( _473_ ZN ) ( _474_ A ) ( _479_ A1 ) ( _480_ A1 ) ( _506_ A1 ) ; - _211_ ( _475_ ZN ) ( _476_ B ) ; - _212_ ( _476_ ZN ) ( _477_ B ) ; - _213_ ( _478_ ZN ) ( _485_ A ) ; - _214_ ( _479_ ZN ) ( _483_ A ) ( _491_ A1 ) ( _498_ B1 ) ; - _215_ ( _480_ ZN ) ( _482_ A1 ) ; - _216_ ( _481_ ZN ) ( _482_ A3 ) ( _483_ C2 ) ( _489_ B2 ) ; - _217_ ( _482_ ZN ) ( _483_ B ) ; - _218_ ( _484_ ZN ) ( _485_ B ) ; - _219_ ( _485_ ZN ) ( _486_ B ) ; - _220_ ( _487_ ZN ) ( _494_ A ) ; - _221_ ( _488_ ZN ) ( _489_ A ) ; - _222_ ( _489_ ZN ) ( _490_ A ) ; - _223_ ( _490_ ZN ) ( _491_ A2 ) ( _498_ B2 ) ( _508_ A1 ) ; - _224_ ( _491_ ZN ) ( _492_ A ) ; - _225_ ( _493_ ZN ) ( _494_ B ) ; - _226_ ( _494_ ZN ) ( _495_ B ) ; - _227_ ( _496_ ZN ) ( _497_ A ) ; - _228_ ( _497_ ZN ) ( _504_ B1 ) ; - _229_ ( _498_ ZN ) ( _501_ A1 ) ; - _230_ ( _499_ ZN ) ( _500_ A ) ( _509_ B2 ) ; - _231_ ( _500_ ZN ) ( _501_ A2 ) ; - _232_ ( _501_ ZN ) ( _502_ A ) ; - _233_ ( _503_ ZN ) ( _504_ B2 ) ; - _234_ ( _504_ ZN ) ( _505_ A ) ; - _235_ ( _506_ ZN ) ( _507_ A ) ; - _236_ ( _507_ ZN ) ( _510_ A1 ) ; - _237_ ( _508_ ZN ) ( _510_ A2 ) ; - _238_ ( _509_ ZN ) ( _510_ A3 ) ; - _239_ ( _510_ ZN ) ( _511_ A ) ( _516_ A1 ) ( _524_ A1 ) ; - _240_ ( _512_ ZN ) ( _514_ A ) ; - _241_ ( _513_ ZN ) ( _514_ B ) ; - _242_ ( _514_ ZN ) ( _515_ B ) ; - _243_ ( _516_ ZN ) ( _518_ A1 ) ; - _244_ ( _517_ ZN ) ( _518_ A2 ) ( _526_ A2 ) ; - _245_ ( _518_ ZN ) ( _519_ A ) ; - _246_ ( _520_ ZN ) ( _522_ A ) ; - _247_ ( _521_ ZN ) ( _522_ B ) ; - _248_ ( _522_ ZN ) ( _523_ B ) ; - _249_ ( _524_ ZN ) ( _527_ A1 ) ( _528_ B1 ) ; - _250_ ( _525_ ZN ) ( _527_ A2 ) ( _528_ A ) ; - _251_ ( _526_ ZN ) ( _527_ A3 ) ( _528_ B2 ) ; - _252_ ( _527_ ZN ) ( _529_ A1 ) ; - _253_ ( _528_ ZN ) ( _529_ A2 ) ( _535_ A1 ) ; - _254_ ( _530_ ZN ) ( _532_ A ) ; - _255_ ( _531_ ZN ) ( _532_ B ) ; - _256_ ( _532_ ZN ) ( _533_ B ) ; - _257_ ( _534_ ZN ) ( _535_ A2 ) ; - _258_ ( _535_ ZN ) ( _536_ A ) ; - _259_ ( _537_ ZN ) ( _540_ B1 ) ; - _260_ ( _538_ ZN ) ( _539_ A ) ; - _261_ ( _539_ ZN ) ( _540_ B2 ) ; - _262_ ( _540_ ZN ) ( _541_ A ) ; - _263_ ( _542_ Z ) ( _545_ B ) ; - _264_ ( _543_ ZN ) ( _544_ A ) ( _565_ S ) ( _567_ S ) ( _569_ S ) ( _571_ S ) ( _573_ S ) ( _575_ S ) ; - _265_ ( _544_ Z ) ( _545_ S ) ( _547_ S ) ( _549_ S ) ( _551_ S ) ( _553_ S ) ( _555_ S ) ( _557_ S ) ( _559_ S ) ( _561_ S ) ( _563_ S ) ; - _266_ ( _546_ Z ) ( _547_ B ) ; - _267_ ( _548_ Z ) ( _549_ B ) ; - _268_ ( _550_ Z ) ( _551_ B ) ; - _269_ ( _552_ Z ) ( _553_ B ) ; - _270_ ( _554_ Z ) ( _555_ B ) ; - _271_ ( _556_ Z ) ( _557_ B ) ; - _272_ ( _558_ Z ) ( _559_ B ) ; - _273_ ( _560_ Z ) ( _561_ B ) ; - _274_ ( _562_ Z ) ( _563_ B ) ; - _275_ ( _564_ Z ) ( _565_ B ) ; - _276_ ( _566_ Z ) ( _567_ B ) ; - _277_ ( _568_ Z ) ( _569_ B ) ; - _278_ ( _570_ Z ) ( _571_ B ) ; - _279_ ( _572_ Z ) ( _573_ B ) ; - _280_ ( _574_ Z ) ( _575_ B ) ; - _281_ ( _579_ QN ) ; - _282_ ( _580_ QN ) ; - _283_ ( _581_ QN ) ; - _284_ ( _582_ QN ) ; - _285_ ( _583_ QN ) ; - _286_ ( _584_ QN ) ; - _287_ ( _585_ QN ) ; - _288_ ( _586_ QN ) ; - _289_ ( _587_ QN ) ; - _290_ ( _588_ QN ) ; - _291_ ( _589_ QN ) ; - _292_ ( _590_ QN ) ; - _293_ ( _591_ QN ) ; - _294_ ( _592_ QN ) ; - _295_ ( _593_ QN ) ; - _296_ ( _594_ QN ) ; - clk ( PIN clk ) ( _576_ CK ) ( _577_ CK ) ( _578_ CK ) ( _579_ CK ) ( _580_ CK ) ( _581_ CK ) ( _582_ CK ) ( _583_ CK ) ( _584_ CK ) ( _585_ CK ) ( _586_ CK ) ( _587_ CK ) ( _588_ CK ) ( _589_ CK ) ( _590_ CK ) ( _591_ CK ) ( _592_ CK ) ( _593_ CK ) ( _594_ CK ) ( _595_ CK ) ( _596_ CK ) ( _597_ CK ) ( _598_ CK ) ( _599_ CK ) ( _600_ CK ) ( _601_ CK ) ( _602_ CK ) ( _603_ CK ) ( _604_ CK ) ( _605_ CK ) ( _606_ CK ) ( _607_ CK ) ( _608_ CK ) ( _609_ CK ) ( _610_ CK ) ; - ctrl.state.out[1] ( _298_ A2 ) ( _577_ Q ) ; - ctrl.state.out[2] ( _297_ A ) ( _390_ A1 ) ( _403_ A2 ) ( _407_ A1 ) ( _578_ Q ) ; - dpath.a_lt_b$in0[0] ( _299_ A ) ( _342_ A ) ( _410_ A ) ( _542_ A ) ( _579_ Q ) ; - dpath.a_lt_b$in0[10] ( _360_ A ) ( _383_ A ) ( _495_ A ) ( _564_ A ) ( _589_ Q ) ; - dpath.a_lt_b$in0[11] ( _361_ A ) ( _381_ A ) ( _566_ A ) ( _590_ Q ) ; - dpath.a_lt_b$in0[12] ( _371_ A ) ( _393_ A ) ( _515_ A ) ( _568_ A ) ( _591_ Q ) ; - dpath.a_lt_b$in0[13] ( _369_ A ) ( _391_ A ) ( _523_ A ) ( _526_ B1 ) ( _570_ A ) ( _592_ Q ) ; - dpath.a_lt_b$in0[14] ( _366_ A ) ( _387_ A ) ( _533_ A ) ( _572_ A ) ( _593_ Q ) ; - dpath.a_lt_b$in0[15] ( _327_ A ) ( _367_ A ) ( _574_ A ) ( _594_ Q ) ; - dpath.a_lt_b$in0[1] ( _340_ A2 ) ( _341_ A2 ) ( _399_ A ) ( _419_ A ) ( _546_ A ) ( _580_ Q ) ; - dpath.a_lt_b$in0[2] ( _333_ A ) ( _357_ A ) ( _425_ A ) ( _429_ A2 ) ( _548_ A ) ( _581_ Q ) ; - dpath.a_lt_b$in0[3] ( _332_ A ) ( _356_ A2 ) ( _357_ C1 ) ( _434_ A ) ( _437_ B1 ) ( _550_ A ) ( _582_ Q ) ; - dpath.a_lt_b$in0[4] ( _336_ A ) ( _345_ A ) ( _443_ A ) ( _552_ A ) ( _583_ Q ) ; - dpath.a_lt_b$in0[5] ( _335_ A ) ( _347_ A ) ( _451_ A ) ( _554_ A ) ( _584_ Q ) ; - dpath.a_lt_b$in0[6] ( _330_ A ) ( _353_ A ) ( _459_ A ) ( _556_ A ) ( _585_ Q ) ; - dpath.a_lt_b$in0[7] ( _329_ A ) ( _351_ A2 ) ( _468_ A ) ( _472_ B1 ) ( _558_ A ) ( _586_ Q ) ; - dpath.a_lt_b$in0[8] ( _364_ A ) ( _376_ A ) ( _477_ A ) ( _560_ A ) ( _587_ Q ) ; - dpath.a_lt_b$in0[9] ( _363_ A ) ( _378_ A ) ( _486_ A ) ( _562_ A ) ( _588_ Q ) ; - dpath.a_lt_b$in1[0] ( _299_ B ) ( _303_ A2 ) ( _343_ A3 ) ( _415_ A2 ) ( _545_ A ) ( _595_ Q ) ; - dpath.a_lt_b$in1[10] ( _305_ A2 ) ( _360_ B ) ( _384_ B2 ) ( _499_ A2 ) ( _565_ A ) ( _605_ Q ) ; - dpath.a_lt_b$in1[11] ( _305_ A1 ) ( _361_ B ) ( _382_ A2 ) ( _384_ A2 ) ( _567_ A ) ( _606_ Q ) ; - dpath.a_lt_b$in1[12] ( _306_ A4 ) ( _371_ B ) ( _394_ B2 ) ( _517_ A2 ) ( _569_ A ) ( _607_ Q ) ; - dpath.a_lt_b$in1[13] ( _306_ A3 ) ( _369_ B ) ( _392_ A2 ) ( _394_ A2 ) ( _571_ A ) ( _608_ Q ) ; - dpath.a_lt_b$in1[14] ( _306_ A2 ) ( _366_ B ) ( _388_ A3 ) ( _534_ A2 ) ( _573_ A ) ( _609_ Q ) ; - dpath.a_lt_b$in1[15] ( _306_ A1 ) ( _328_ A2 ) ( _367_ B ) ( _575_ A ) ( _610_ Q ) ; - dpath.a_lt_b$in1[1] ( _303_ A1 ) ( _339_ A ) ( _399_ B ) ( _547_ A ) ( _596_ Q ) ; - dpath.a_lt_b$in1[2] ( _302_ A ) ( _333_ B ) ( _549_ A ) ( _597_ Q ) ; - dpath.a_lt_b$in1[3] ( _301_ A ) ( _332_ B ) ( _551_ A ) ( _598_ Q ) ; - dpath.a_lt_b$in1[4] ( _300_ A4 ) ( _336_ B ) ( _346_ A3 ) ( _446_ A2 ) ( _553_ A ) ( _599_ Q ) ; - dpath.a_lt_b$in1[5] ( _300_ A3 ) ( _335_ B ) ( _348_ A2 ) ( _454_ B2 ) ( _555_ A ) ( _600_ Q ) ; - dpath.a_lt_b$in1[6] ( _300_ A2 ) ( _330_ B ) ( _354_ A3 ) ( _461_ A2 ) ( _462_ A2 ) ( _557_ A ) ( _601_ Q ) ; - dpath.a_lt_b$in1[7] ( _300_ A1 ) ( _329_ B ) ( _350_ A ) ( _559_ A ) ( _602_ Q ) ; - dpath.a_lt_b$in1[8] ( _305_ A4 ) ( _364_ B ) ( _377_ A3 ) ( _481_ A2 ) ( _561_ A ) ( _603_ Q ) ; - dpath.a_lt_b$in1[9] ( _305_ A3 ) ( _363_ B ) ( _379_ A2 ) ( _488_ A2 ) ( _563_ A ) ( _604_ Q ) ; - req_msg[0] ( PIN req_msg[0] ) ( _542_ B ) ; - req_msg[10] ( PIN req_msg[10] ) ( _564_ B ) ; - req_msg[11] ( PIN req_msg[11] ) ( _566_ B ) ; - req_msg[12] ( PIN req_msg[12] ) ( _568_ B ) ; - req_msg[13] ( PIN req_msg[13] ) ( _570_ B ) ; - req_msg[14] ( PIN req_msg[14] ) ( _572_ B ) ; - req_msg[15] ( PIN req_msg[15] ) ( _574_ B ) ; - req_msg[16] ( PIN req_msg[16] ) ( _326_ A ) ; - req_msg[17] ( PIN req_msg[17] ) ( _411_ A ) ; - req_msg[18] ( PIN req_msg[18] ) ( _420_ A ) ; - req_msg[19] ( PIN req_msg[19] ) ( _426_ A ) ; - req_msg[1] ( PIN req_msg[1] ) ( _546_ B ) ; - req_msg[20] ( PIN req_msg[20] ) ( _435_ A ) ; - req_msg[21] ( PIN req_msg[21] ) ( _444_ A ) ; - req_msg[22] ( PIN req_msg[22] ) ( _452_ A ) ; - req_msg[23] ( PIN req_msg[23] ) ( _460_ A ) ; - req_msg[24] ( PIN req_msg[24] ) ( _469_ A ) ; - req_msg[25] ( PIN req_msg[25] ) ( _478_ A ) ; - req_msg[26] ( PIN req_msg[26] ) ( _487_ A ) ; - req_msg[27] ( PIN req_msg[27] ) ( _496_ A ) ; - req_msg[28] ( PIN req_msg[28] ) ( _513_ A ) ; - req_msg[29] ( PIN req_msg[29] ) ( _521_ A ) ; - req_msg[2] ( PIN req_msg[2] ) ( _548_ B ) ; - req_msg[30] ( PIN req_msg[30] ) ( _531_ A ) ; - req_msg[31] ( PIN req_msg[31] ) ( _538_ A ) ; - req_msg[3] ( PIN req_msg[3] ) ( _550_ B ) ; - req_msg[4] ( PIN req_msg[4] ) ( _552_ B ) ; - req_msg[5] ( PIN req_msg[5] ) ( _554_ B ) ; - req_msg[6] ( PIN req_msg[6] ) ( _556_ B ) ; - req_msg[7] ( PIN req_msg[7] ) ( _558_ B ) ; - req_msg[8] ( PIN req_msg[8] ) ( _560_ B ) ; - req_msg[9] ( PIN req_msg[9] ) ( _562_ B ) ; - req_rdy ( PIN req_rdy ) ( _318_ A ) ( _407_ A2 ) ( _560_ S ) ( _562_ S ) ( _564_ S ) ( _566_ S ) ( _568_ S ) ( _570_ S ) ( _572_ S ) ( _574_ S ) ( _576_ Q ) ; - req_val ( PIN req_val ) ( _319_ A4 ) ( _323_ C2 ) ; - reset ( PIN reset ) ( _309_ A ) ( _313_ A2 ) ( _323_ A ) ( _324_ A2 ) ; - resp_msg[0] ( PIN resp_msg[0] ) ( _299_ Z ) ( _396_ A2 ) ( _401_ A2 ) ; - resp_msg[10] ( PIN resp_msg[10] ) ( _492_ ZN ) ( _493_ A2 ) ; - resp_msg[11] ( PIN resp_msg[11] ) ( _502_ ZN ) ( _503_ A1 ) ; - resp_msg[12] ( PIN resp_msg[12] ) ( _511_ ZN ) ( _512_ A1 ) ; - resp_msg[13] ( PIN resp_msg[13] ) ( _519_ ZN ) ( _520_ A1 ) ; - resp_msg[14] ( PIN resp_msg[14] ) ( _529_ ZN ) ( _530_ A1 ) ; - resp_msg[15] ( PIN resp_msg[15] ) ( _536_ ZN ) ( _537_ A1 ) ; - resp_msg[1] ( PIN resp_msg[1] ) ( _416_ Z ) ( _417_ A2 ) ; - resp_msg[2] ( PIN resp_msg[2] ) ( _422_ ZN ) ( _423_ A2 ) ; - resp_msg[3] ( PIN resp_msg[3] ) ( _431_ ZN ) ( _432_ A2 ) ; - resp_msg[4] ( PIN resp_msg[4] ) ( _440_ Z ) ( _441_ A2 ) ; - resp_msg[5] ( PIN resp_msg[5] ) ( _448_ ZN ) ( _449_ A2 ) ; - resp_msg[6] ( PIN resp_msg[6] ) ( _456_ ZN ) ( _457_ A2 ) ; - resp_msg[7] ( PIN resp_msg[7] ) ( _465_ ZN ) ( _466_ A2 ) ; - resp_msg[8] ( PIN resp_msg[8] ) ( _474_ Z ) ( _475_ A2 ) ; - resp_msg[9] ( PIN resp_msg[9] ) ( _483_ ZN ) ( _484_ A1 ) ; - resp_rdy ( PIN resp_rdy ) ( _312_ A2 ) ( _321_ A3 ) ; - resp_val ( PIN resp_val ) ( _298_ ZN ) ( _312_ A1 ) ( _321_ A1 ) ; END NETS END DESIGN