Lines Matching +refs:run +refs:args
96 void execute(std::vector<std::string> args, RTLIL::Design *design) override in execute()
102 for (argidx = 1; argidx < args.size(); argidx++) { in execute()
103 if (args[argidx] == "-family" && argidx + 1 < args.size()) { in execute()
104 family_opt = args[++argidx]; in execute()
107 if (args[argidx] == "-top" && argidx + 1 < args.size()) { in execute()
108 top_opt = "-top " + args[++argidx]; in execute()
111 if (args[argidx] == "-vqm" && argidx + 1 < args.size()) { in execute()
112 vout_file = args[++argidx]; in execute()
116 if (args[argidx] == "-vpr" && argidx + 1 < args.size()) { in execute()
117 blif_file = args[++argidx]; in execute()
120 if (args[argidx] == "-run" && argidx + 1 < args.size()) { in execute()
121 size_t pos = args[argidx + 1].find(':'); in execute()
124 run_from = args[++argidx].substr(0, pos); in execute()
125 run_to = args[argidx].substr(pos + 1); in execute()
128 if (args[argidx] == "-iopads") { in execute()
132 if (args[argidx] == "-nobram") { in execute()
136 if (args[argidx] == "-noflatten") { in execute()
140 if (args[argidx] == "-retime") { in execute()
146 extra_args(args, argidx, design); in execute()
172 run(stringf("read_verilog -sv -lib +/intel/%s/cells_sim.v", family_opt.c_str())); in script()
175 run("read_verilog -sv -lib +/intel/common/m9k_bb.v"); in script()
176 run("read_verilog -sv -lib +/intel/common/altpll_bb.v"); in script()
177 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
181 run("proc"); in script()
182 run("flatten"); in script()
183 run("tribuf -logic"); in script()
184 run("deminout"); in script()
188 run("synth -run coarse"); in script()
196 run("memory_bram -rules +/intel/common/brams_m9k.txt", "(if applicable for family)"); in script()
197 run("techmap -map +/intel/common/brams_map_m9k.v", "(if applicable for family)"); in script()
204 run("opt -fast -mux_undef -undriven -fine -full"); in script()
205 run("memory_map"); in script()
206 run("opt -undriven -fine"); in script()
207 run("techmap -map +/techmap.v"); in script()
208 run("opt -full"); in script()
209 run("clean -purge"); in script()
210 run("setundef -undriven -zero"); in script()
212 run("abc -markgroups -dff -D 1", "(only if -retime)"); in script()
216 run("dfflegalize -cell $_DFFE_PN0P_ 01"); in script()
217 run("techmap -map +/intel/common/ff_map.v"); in script()
221 run("abc -lut 4" + string(retime ? " -dff" : "")); in script()
222 run("clean"); in script()
227 run("iopadmap -bits -outpad $__outpad I:O -inpad $__inpad O:I", "(if -iopads)"); in script()
228 run(stringf("techmap -map +/intel/%s/cells_map.v", family_opt.c_str())); in script()
229 run("clean -purge"); in script()
233 run("hierarchy -check"); in script()
234 run("stat"); in script()
235 run("check -noinit"); in script()
236 run("blackbox =A:whitebox"); in script()
241 run(stringf("write_verilog -attr2comment -defparam -nohex -decimal -renameprefix syn_ %s", in script()
247 run(stringf("opt_clean -purge")); in script()
248 run(stringf("write_blif %s", help_mode ? "<file-name>" : blif_file.c_str())); in script()