Lines Matching refs:mux_select
110 signal mux_select : std_logic_vector(g_muxed_ports-1 downto 0); signal
148 mux_select <= mux_cycs and mux_rrobin;
151 mux_select <= (others => '0');
156 if(unsigned(mux_cycs and mux_select) = 0) then --cycle end
168 mux_snk_o(J).ack <= ep_src_i.ack when(mux /= MUX_SEL and mux_select(J) = '1') else
170 mux_snk_o(J).stall <= ep_src_i.stall when(mux /= MUX_SEL and mux_select(J) = '1') else
172 mux_snk_o(J).err <= ep_src_i.err when(mux /= MUX_SEL and mux_select(J) = '1') else
177 ep_src_o.cyc <= mux_snk_i(f_hot_to_bin(mux_select)).cyc when(mux /= MUX_SEL) else
179 ep_src_o.stb <= mux_snk_i(f_hot_to_bin(mux_select)).stb when(mux /= MUX_SEL) else
181 ep_src_o.adr <= mux_snk_i(f_hot_to_bin(mux_select)).adr;
182 ep_src_o.dat <= mux_snk_i(f_hot_to_bin(mux_select)).dat;
183 ep_src_o.sel <= mux_snk_i(f_hot_to_bin(mux_select)).sel;