// DESCRIPTION: Verilator: Verilog Test module // // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2019 by Stefan Wallentowitz. // SPDX-License-Identifier: CC0-1.0 `verilator_config public -module "glbl" -function "setGSR"