SyncTeX Version:1 Input:1:test.tex Input:2:/usr/local/texlive/2008/texmf-dist/tex/latex/base/book.cls Input:3:/usr/local/texlive/2008/texmf-dist/tex/latex/base/bk11.clo Input:4:/usr/local/texlive/2008/texmf-dist/tex/generic/babel/babel.sty Input:5:/usr/local/texlive/2008/texmf-dist/tex/generic/babel/english.ldf Input:6:/usr/local/texlive/2008/texmf-dist/tex/generic/babel/babel.def Input:7:/usr/local/texlive/2008/texmf-dist/tex/generic/babel/bahasai.ldf Input:8:/usr/local/texlive/2008/texmf-dist/tex/latex/booktabs/booktabs.sty Input:9:/usr/local/texlive/2008/texmf-dist/tex/latex/graphics/graphicx.sty Input:10:/usr/local/texlive/2008/texmf-dist/tex/latex/graphics/keyval.sty Input:11:/usr/local/texlive/2008/texmf-dist/tex/latex/graphics/graphics.sty Input:12:/usr/local/texlive/2008/texmf-dist/tex/latex/graphics/trig.sty Input:13:/usr/local/texlive/2008/texmf/tex/latex/config/graphics.cfg Input:14:/usr/local/texlive/2008/texmf-dist/tex/latex/pdftex-def/pdftex.def Input:15:/usr/local/texlive/2008/texmf-dist/tex/latex/amsfonts/amssymb.sty Input:16:/usr/local/texlive/2008/texmf-dist/tex/latex/amsfonts/amsfonts.sty Input:17:/usr/local/texlive/2008/texmf-dist/tex/latex/jknapltx/mathrsfs.sty Input:18:/usr/local/texlive/2008/texmf-dist/tex/latex/base/textcomp.sty Input:19:/usr/local/texlive/2008/texmf-dist/tex/latex/base/ts1enc.def Input:20:/usr/local/texlive/2008/texmf-dist/tex/latex/base/syntonly.sty Input:21:/usr/local/texlive/2008/texmf-dist/tex/latex/mhchem/mhchem.sty Input:22:/usr/local/texlive/2008/texmf-dist/tex/latex/base/ifthen.sty Input:23:/usr/local/texlive/2008/texmf-dist/tex/latex/tools/calc.sty Input:24:/usr/local/texlive/2008/texmf-dist/tex/latex/oberdiek/twoopt.sty Input:25:/usr/local/texlive/2008/texmf-dist/tex/latex/amsmath/amsmath.sty Input:26:/usr/local/texlive/2008/texmf-dist/tex/latex/amsmath/amstext.sty Input:27:/usr/local/texlive/2008/texmf-dist/tex/latex/amsmath/amsgen.sty Input:28:/usr/local/texlive/2008/texmf-dist/tex/latex/amsmath/amsbsy.sty Input:29:/usr/local/texlive/2008/texmf-dist/tex/latex/amsmath/amsopn.sty Input:30:/usr/local/texlive/2008/texmf-dist/tex/latex/mh/xfrac.sty Input:31:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3names.sty Input:32:/usr/local/texlive/2008/texmf-dist/tex/latex/xpackages/template.sty Input:33:/usr/local/texlive/2008/texmf-dist/tex/latex/xpackages/ldcsetup.sty Input:34:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3calc.sty Input:35:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3int.sty Input:36:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3num.sty Input:37:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3expan.sty Input:38:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3tlp.sty Input:39:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3basics.sty Input:40:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3skip.sty Input:41:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3prg.sty Input:42:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3quark.sty Input:43:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3toks.sty Input:44:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3box.sty Input:45:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3token.sty Input:46:/usr/local/texlive/2008/texmf-dist/tex/latex/xpackages/xparse.sty Input:47:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3seq.sty Input:48:/usr/local/texlive/2008/texmf-dist/tex/latex/expl3/l3clist.sty Input:49:/usr/local/texlive/2008/texmf-dist/tex/latex/xkeyval/xkeyval.sty Input:50:/usr/local/texlive/2008/texmf-dist/tex/generic/xkeyval/xkeyval.tex Input:51:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/siunitx.sty Input:52:/usr/local/texlive/2008/texmf-dist/tex/latex/tools/array.sty Input:53:/usr/local/texlive/2008/texmf-dist/tex/latex/tools/xspace.sty Input:54:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-prefix.cfg Input:55:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-named.cfg Input:56:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-addn.cfg Input:57:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-prefixed.cfg Input:58:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-accepted.cfg Input:59:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-physical.cfg Input:60:/usr/local/texlive/2008/texmf-dist/tex/latex/siunitx/config/si-abbr.cfg Input:61:/usr/local/texlive/2008/texmf-dist/tex/latex/titlesec/titlesec.sty Input:62:/usr/local/texlive/2008/texmf-dist/tex/latex/graphics/color.sty Input:63:/usr/local/texlive/2008/texmf/tex/latex/config/color.cfg Input:64:test.aux Input:65:/usr/local/texlive/2008/texmf-dist/tex/latex/base/ts1cmr.fd Input:66:/usr/local/texlive/2008/texmf-dist/tex/context/base/supp-pdf.tex Input:67:/usr/local/texlive/2008/texmf-dist/tex/latex/amsfonts/umsa.fd Input:68:/usr/local/texlive/2008/texmf-dist/tex/latex/amsfonts/umsb.fd Input:69:/usr/local/texlive/2008/texmf-dist/tex/latex/jknapltx/ursfs.fd Output:pdf Magnification:1000 Unit:1 X Offset:0 Y Offset:0 Content: !5050 {1 [1,24:4736286,45679049:25493504,40942763,0 [1,24:6636830,45679049:23592960,39369899,0 [1,24:6636830,7095582:23592960,786432,0 (1,24:6636830,7095582:23592960,0,0 h1,24:6636830,7095582:23592960,0,0 ) ] [1,24:6636830,43879237:23592960,35481206,0 h1,24:6636830,9118927:0,0,0 (1,24:6636830,22608969:23592960,786432,220273 k1,24:12651320,22608969:6014490 (1,24:12651320,22608969:0,0,0 g1,24:12651320,22608969 g1,24:12651320,22608969 g1,24:12292510,22608969 (1,24:12292510,22608969:0,0,0 ) g1,24:12651320,22608969 ) x1,24:15351466,22608969 x1,24:17316524,22608969 g1,24:17658400,22608969 x1,24:20432273,22608969 g1,24:20774149,22608969 x1,24:23087820,22608969 x1,24:24215301,22608969 g1,24:24215301,22608969 k1,24:30229790,22608969:6014489 ) (1,24:6636830,25679336:23592960,642250,275254 k1,24:15356059,25679336:8719229 h1,24:15356059,25679336:0,0,0 (1,24:15356059,25679336:6154503,642250,275254 $1,24:15356059,25679336 [1,24:15356059,25679336:6154503,642250,275254 (1,24:15356059,25679336:6154503,642250,275254 g1,24:15356059,25679336 (1,24:15356059,25679336:6154503,642250,275254 r1,24:15356059,25679336:0,917504,275254 g1,24:15749275,25679336 g1,24:15749275,25679336 x1,24:19150012,25679336 g1,24:19406692,25679336 x1,24:21117346,25679336 g1,24:21117346,25679336 g1,24:21510562,25679336 ) g1,24:21510562,25679336 ) ] $1,24:21510562,25679336 ) g1,24:21510562,25679336 k1,24:30229790,25679336:8719228 ) h1,24:6636830,35763147:0,0,0 ] (1,24:6636830,45679049:23592960,0,0 h1,24:6636830,45679049:23592960,0,0 ) ] ] !1515 }1 !9 {2 [1,25:4736286,45679049:28835840,40942763,0 [1,25:9979166,45679049:23592960,39369899,0 [1,25:9979166,7095582:23592960,786432,0 (1,25:9979166,7095582:23592960,479268,0 (1,25:9979166,7095582:23592960,479268,0 x1,25:10377844,7095582 k1,25:33572126,7095582:23194282 ) ) ] [1,25:9979166,43879237:0,35481206,0 h1,25:9979166,9118927:0,0,0 ] (1,25:9979166,45679049:23592960,0,0 h1,25:9979166,45679049:23592960,0,0 ) ] ] !417 }2 Input:70:test.toc !34 {3 [1,26:4736286,45679049:25493504,40942763,0 [1,26:6636830,45679049:23592960,39369899,0 [1,26:6636830,7095582:23592960,786432,0 (1,26:6636830,7095582:23592960,0,0 h1,26:6636830,7095582:23592960,0,0 ) ] [1,26:6636830,43879237:23592960,35481206,0 (1,25:6636830,14361807:23592960,1132315,0 h1,25:6636830,14361807:0,0,0 x1,25:11849261,14361807 g1,25:12460712,14361807 x1,25:14376972,14361807 k1,25:22303381,14361807:7926409 k1,25:30229790,14361807:7926409 ) (70,2:6636830,18592158:23592960,498346,139537 g70,2:7713261,18592158 g70,2:6636830,18592158 (70,2:6636830,18592158:1076431,462465,0 x70,2:7049458,18592158 k70,2:7713261,18592158:663803 ) x70,2:10101921,18592158 g70,2:10377006,18592158 x70,2:11672201,18592158 x70,2:12084829,18592158 x70,2:12463071,18592158 x70,2:13036166,18592158 x70,2:13414408,18592158 g70,2:13689493,18592158 x70,2:15535856,18592158 x70,2:16429883,18592158 k70,2:28950640,18592158:12520757 (70,2:28950640,18592158:1279150,462465,0 k70,2:29817162,18592158:866522 x70,2:30229790,18592158 ) g70,2:29117476,18592158 g70,2:30229790,18592158 ) (70,3:6636830,19483448:23592960,498346,0 g70,3:9363791,19483448 h70,3:9363791,19483448:1076431,0,0 h70,3:10440222,19483448:0,0,0 g70,3:7713261,19483448 (70,3:7713261,19483448:1650530,462465,0 x70,3:8630220,19483448 k70,3:9363791,19483448:733571 ) x70,3:11430936,19483448 g70,3:11670142,19483448 x70,3:14787804,19483448 (70,3:15008945,19483448:558141,75748,0 $70,3:15008945,19483448 (70,3:15188346,19483448:199339,75748,0 x70,3:15387685,19483448 ) $70,3:15567086,19483448 ) (70,3:15567086,19483448:558141,75748,0 (70,3:15746487,19483448:199339,75748,0 x70,3:15945826,19483448 ) ) (70,3:16125227,19483448:558141,75748,0 (70,3:16304628,19483448:199339,75748,0 x70,3:16503967,19483448 ) ) (70,3:16683368,19483448:558141,75748,0 (70,3:16862769,19483448:199339,75748,0 x70,3:17062108,19483448 ) ) (70,3:17241509,19483448:558141,75748,0 (70,3:17420910,19483448:199339,75748,0 x70,3:17620249,19483448 ) ) (70,3:17799650,19483448:558141,75748,0 (70,3:17979051,19483448:199339,75748,0 x70,3:18178390,19483448 ) ) (70,3:18357791,19483448:558141,75748,0 (70,3:18537192,19483448:199339,75748,0 x70,3:18736531,19483448 ) ) (70,3:18915932,19483448:558141,75748,0 (70,3:19095333,19483448:199339,75748,0 x70,3:19294672,19483448 ) ) (70,3:19474073,19483448:558141,75748,0 (70,3:19653474,19483448:199339,75748,0 x70,3:19852813,19483448 ) ) (70,3:20032214,19483448:558141,75748,0 (70,3:20211615,19483448:199339,75748,0 x70,3:20410954,19483448 ) ) (70,3:20590355,19483448:558141,75748,0 (70,3:20769756,19483448:199339,75748,0 x70,3:20969095,19483448 ) ) (70,3:21148496,19483448:558141,75748,0 (70,3:21327897,19483448:199339,75748,0 x70,3:21527236,19483448 ) ) (70,3:21706637,19483448:558141,75748,0 (70,3:21886038,19483448:199339,75748,0 x70,3:22085377,19483448 ) ) (70,3:22264778,19483448:558141,75748,0 (70,3:22444179,19483448:199339,75748,0 x70,3:22643518,19483448 ) ) (70,3:22822919,19483448:558141,75748,0 (70,3:23002320,19483448:199339,75748,0 x70,3:23201659,19483448 ) ) (70,3:23381060,19483448:558141,75748,0 (70,3:23560461,19483448:199339,75748,0 x70,3:23759800,19483448 ) ) (70,3:23939201,19483448:558141,75748,0 (70,3:24118602,19483448:199339,75748,0 x70,3:24317941,19483448 ) ) (70,3:24497342,19483448:558141,75748,0 (70,3:24676743,19483448:199339,75748,0 x70,3:24876082,19483448 ) ) (70,3:25055483,19483448:558141,75748,0 (70,3:25234884,19483448:199339,75748,0 x70,3:25434223,19483448 ) ) (70,3:25613624,19483448:558141,75748,0 (70,3:25793025,19483448:199339,75748,0 x70,3:25992364,19483448 ) ) (70,3:26171765,19483448:558141,75748,0 (70,3:26351166,19483448:199339,75748,0 x70,3:26550505,19483448 ) ) (70,3:26729906,19483448:558141,75748,0 (70,3:26909307,19483448:199339,75748,0 x70,3:27108646,19483448 ) ) (70,3:27288047,19483448:558141,75748,0 (70,3:27467448,19483448:199339,75748,0 x70,3:27666787,19483448 ) ) (70,3:27846188,19483448:558141,75748,0 (70,3:28025589,19483448:199339,75748,0 x70,3:28224928,19483448 ) ) (70,3:28404329,19483448:558141,75748,0 (70,3:28583730,19483448:199339,75748,0 x70,3:28783069,19483448 ) ) (70,3:29117476,19483448:1112314,462465,0 k70,3:29870980,19483448:753504 x70,3:30229790,19483448 ) g70,3:28399855,19483448 g70,3:30229790,19483448 ) (70,4:6636830,20374738:23592960,498346,0 g70,4:11660176,20374738 h70,4:11660176,20374738:2726961,0,0 h70,4:14387137,20374738:0,0,0 g70,4:9363791,20374738 (70,4:9363791,20374738:2296385,462465,0 x70,4:10838899,20374738 k70,4:11660176,20374738:821277 ) x70,4:14777838,20374738 g70,4:15017044,20374738 x70,4:17209773,20374738 x70,4:18385874,20374738 (70,4:18915932,20374738:558141,75748,0 $70,4:18915932,20374738 (70,4:19095333,20374738:199339,75748,0 x70,4:19294672,20374738 ) $70,4:19474073,20374738 ) (70,4:19474073,20374738:558141,75748,0 (70,4:19653474,20374738:199339,75748,0 x70,4:19852813,20374738 ) ) (70,4:20032214,20374738:558141,75748,0 (70,4:20211615,20374738:199339,75748,0 x70,4:20410954,20374738 ) ) (70,4:20590355,20374738:558141,75748,0 (70,4:20769756,20374738:199339,75748,0 x70,4:20969095,20374738 ) ) (70,4:21148496,20374738:558141,75748,0 (70,4:21327897,20374738:199339,75748,0 x70,4:21527236,20374738 ) ) (70,4:21706637,20374738:558141,75748,0 (70,4:21886038,20374738:199339,75748,0 x70,4:22085377,20374738 ) ) (70,4:22264778,20374738:558141,75748,0 (70,4:22444179,20374738:199339,75748,0 x70,4:22643518,20374738 ) ) (70,4:22822919,20374738:558141,75748,0 (70,4:23002320,20374738:199339,75748,0 x70,4:23201659,20374738 ) ) (70,4:23381060,20374738:558141,75748,0 (70,4:23560461,20374738:199339,75748,0 x70,4:23759800,20374738 ) ) (70,4:23939201,20374738:558141,75748,0 (70,4:24118602,20374738:199339,75748,0 x70,4:24317941,20374738 ) ) (70,4:24497342,20374738:558141,75748,0 (70,4:24676743,20374738:199339,75748,0 x70,4:24876082,20374738 ) ) (70,4:25055483,20374738:558141,75748,0 (70,4:25234884,20374738:199339,75748,0 x70,4:25434223,20374738 ) ) (70,4:25613624,20374738:558141,75748,0 (70,4:25793025,20374738:199339,75748,0 x70,4:25992364,20374738 ) ) (70,4:26171765,20374738:558141,75748,0 (70,4:26351166,20374738:199339,75748,0 x70,4:26550505,20374738 ) ) (70,4:26729906,20374738:558141,75748,0 (70,4:26909307,20374738:199339,75748,0 x70,4:27108646,20374738 ) ) (70,4:27288047,20374738:558141,75748,0 (70,4:27467448,20374738:199339,75748,0 x70,4:27666787,20374738 ) ) (70,4:27846188,20374738:558141,75748,0 (70,4:28025589,20374738:199339,75748,0 x70,4:28224928,20374738 ) ) (70,4:28404329,20374738:558141,75748,0 (70,4:28583730,20374738:199339,75748,0 x70,4:28783069,20374738 ) ) (70,4:29117476,20374738:1112314,462465,0 k70,4:29870980,20374738:753504 x70,4:30229790,20374738 ) g70,4:28399855,20374738 g70,4:30229790,20374738 ) (70,5:6636830,21266028:23592960,498346,0 g70,5:11660176,21266028 h70,5:11660176,21266028:2726961,0,0 h70,5:14387137,21266028:0,0,0 g70,5:9363791,21266028 (70,5:9363791,21266028:2296385,462465,0 x70,5:10838899,21266028 k70,5:11660176,21266028:821277 ) x70,5:14777838,21266028 g70,5:15017044,21266028 x70,5:17321403,21266028 x70,5:18497504,21266028 (70,5:18915932,21266028:558141,75748,0 $70,5:18915932,21266028 (70,5:19095333,21266028:199339,75748,0 x70,5:19294672,21266028 ) $70,5:19474073,21266028 ) (70,5:19474073,21266028:558141,75748,0 (70,5:19653474,21266028:199339,75748,0 x70,5:19852813,21266028 ) ) (70,5:20032214,21266028:558141,75748,0 (70,5:20211615,21266028:199339,75748,0 x70,5:20410954,21266028 ) ) (70,5:20590355,21266028:558141,75748,0 (70,5:20769756,21266028:199339,75748,0 x70,5:20969095,21266028 ) ) (70,5:21148496,21266028:558141,75748,0 (70,5:21327897,21266028:199339,75748,0 x70,5:21527236,21266028 ) ) (70,5:21706637,21266028:558141,75748,0 (70,5:21886038,21266028:199339,75748,0 x70,5:22085377,21266028 ) ) (70,5:22264778,21266028:558141,75748,0 (70,5:22444179,21266028:199339,75748,0 x70,5:22643518,21266028 ) ) (70,5:22822919,21266028:558141,75748,0 (70,5:23002320,21266028:199339,75748,0 x70,5:23201659,21266028 ) ) (70,5:23381060,21266028:558141,75748,0 (70,5:23560461,21266028:199339,75748,0 x70,5:23759800,21266028 ) ) (70,5:23939201,21266028:558141,75748,0 (70,5:24118602,21266028:199339,75748,0 x70,5:24317941,21266028 ) ) (70,5:24497342,21266028:558141,75748,0 (70,5:24676743,21266028:199339,75748,0 x70,5:24876082,21266028 ) ) (70,5:25055483,21266028:558141,75748,0 (70,5:25234884,21266028:199339,75748,0 x70,5:25434223,21266028 ) ) (70,5:25613624,21266028:558141,75748,0 (70,5:25793025,21266028:199339,75748,0 x70,5:25992364,21266028 ) ) (70,5:26171765,21266028:558141,75748,0 (70,5:26351166,21266028:199339,75748,0 x70,5:26550505,21266028 ) ) (70,5:26729906,21266028:558141,75748,0 (70,5:26909307,21266028:199339,75748,0 x70,5:27108646,21266028 ) ) (70,5:27288047,21266028:558141,75748,0 (70,5:27467448,21266028:199339,75748,0 x70,5:27666787,21266028 ) ) (70,5:27846188,21266028:558141,75748,0 (70,5:28025589,21266028:199339,75748,0 x70,5:28224928,21266028 ) ) (70,5:28404329,21266028:558141,75748,0 (70,5:28583730,21266028:199339,75748,0 x70,5:28783069,21266028 ) ) (70,5:29117476,21266028:1112314,462465,0 k70,5:29870980,21266028:753504 x70,5:30229790,21266028 ) g70,5:28399855,21266028 g70,5:30229790,21266028 ) (70,6:6636830,22157318:23592960,498346,139537 g70,6:14602427,22157318 h70,6:14602427,22157318:5023347,0,0 h70,6:19625774,22157318:0,0,0 g70,6:11660177,22157318 x70,6:15032991,22157318 (70,6:15567086,22157318:558141,75748,0 $70,6:15567086,22157318 (70,6:15746487,22157318:199339,75748,0 x70,6:15945826,22157318 ) $70,6:16125227,22157318 ) (70,6:16125227,22157318:558141,75748,0 (70,6:16304628,22157318:199339,75748,0 x70,6:16503967,22157318 ) ) (70,6:16683368,22157318:558141,75748,0 (70,6:16862769,22157318:199339,75748,0 x70,6:17062108,22157318 ) ) (70,6:17241509,22157318:558141,75748,0 (70,6:17420910,22157318:199339,75748,0 x70,6:17620249,22157318 ) ) (70,6:17799650,22157318:558141,75748,0 (70,6:17979051,22157318:199339,75748,0 x70,6:18178390,22157318 ) ) (70,6:18357791,22157318:558141,75748,0 (70,6:18537192,22157318:199339,75748,0 x70,6:18736531,22157318 ) ) (70,6:18915932,22157318:558141,75748,0 (70,6:19095333,22157318:199339,75748,0 x70,6:19294672,22157318 ) ) (70,6:19474073,22157318:558141,75748,0 (70,6:19653474,22157318:199339,75748,0 x70,6:19852813,22157318 ) ) (70,6:20032214,22157318:558141,75748,0 (70,6:20211615,22157318:199339,75748,0 x70,6:20410954,22157318 ) ) (70,6:20590355,22157318:558141,75748,0 (70,6:20769756,22157318:199339,75748,0 x70,6:20969095,22157318 ) ) (70,6:21148496,22157318:558141,75748,0 (70,6:21327897,22157318:199339,75748,0 x70,6:21527236,22157318 ) ) (70,6:21706637,22157318:558141,75748,0 (70,6:21886038,22157318:199339,75748,0 x70,6:22085377,22157318 ) ) (70,6:22264778,22157318:558141,75748,0 (70,6:22444179,22157318:199339,75748,0 x70,6:22643518,22157318 ) ) (70,6:22822919,22157318:558141,75748,0 (70,6:23002320,22157318:199339,75748,0 x70,6:23201659,22157318 ) ) (70,6:23381060,22157318:558141,75748,0 (70,6:23560461,22157318:199339,75748,0 x70,6:23759800,22157318 ) ) (70,6:23939201,22157318:558141,75748,0 (70,6:24118602,22157318:199339,75748,0 x70,6:24317941,22157318 ) ) (70,6:24497342,22157318:558141,75748,0 (70,6:24676743,22157318:199339,75748,0 x70,6:24876082,22157318 ) ) (70,6:25055483,22157318:558141,75748,0 (70,6:25234884,22157318:199339,75748,0 x70,6:25434223,22157318 ) ) (70,6:25613624,22157318:558141,75748,0 (70,6:25793025,22157318:199339,75748,0 x70,6:25992364,22157318 ) ) (70,6:26171765,22157318:558141,75748,0 (70,6:26351166,22157318:199339,75748,0 x70,6:26550505,22157318 ) ) (70,6:26729906,22157318:558141,75748,0 (70,6:26909307,22157318:199339,75748,0 x70,6:27108646,22157318 ) ) (70,6:27288047,22157318:558141,75748,0 (70,6:27467448,22157318:199339,75748,0 x70,6:27666787,22157318 ) ) (70,6:27846188,22157318:558141,75748,0 (70,6:28025589,22157318:199339,75748,0 x70,6:28224928,22157318 ) ) (70,6:28404329,22157318:558141,75748,0 (70,6:28583730,22157318:199339,75748,0 x70,6:28783069,22157318 ) ) (70,6:29117476,22157318:1112314,462465,0 k70,6:29870980,22157318:753504 x70,6:30229790,22157318 ) g70,6:28399855,22157318 g70,6:30229790,22157318 ) (70,7:6636830,23048608:23592960,498346,139537 g70,7:14602427,23048608 h70,7:14602427,23048608:5023347,0,0 h70,7:19625774,23048608:0,0,0 g70,7:11660177,23048608 x70,7:14215703,23048608 g70,7:14454909,23048608 x70,7:16522054,23048608 g70,7:16761260,23048608 x70,7:17229707,23048608 x70,7:19125418,23048608 x70,7:21064986,23048608 (70,7:21148496,23048608:558141,75748,0 $70,7:21148496,23048608 (70,7:21327897,23048608:199339,75748,0 x70,7:21527236,23048608 ) $70,7:21706637,23048608 ) (70,7:21706637,23048608:558141,75748,0 (70,7:21886038,23048608:199339,75748,0 x70,7:22085377,23048608 ) ) (70,7:22264778,23048608:558141,75748,0 (70,7:22444179,23048608:199339,75748,0 x70,7:22643518,23048608 ) ) (70,7:22822919,23048608:558141,75748,0 (70,7:23002320,23048608:199339,75748,0 x70,7:23201659,23048608 ) ) (70,7:23381060,23048608:558141,75748,0 (70,7:23560461,23048608:199339,75748,0 x70,7:23759800,23048608 ) ) (70,7:23939201,23048608:558141,75748,0 (70,7:24118602,23048608:199339,75748,0 x70,7:24317941,23048608 ) ) (70,7:24497342,23048608:558141,75748,0 (70,7:24676743,23048608:199339,75748,0 x70,7:24876082,23048608 ) ) (70,7:25055483,23048608:558141,75748,0 (70,7:25234884,23048608:199339,75748,0 x70,7:25434223,23048608 ) ) (70,7:25613624,23048608:558141,75748,0 (70,7:25793025,23048608:199339,75748,0 x70,7:25992364,23048608 ) ) (70,7:26171765,23048608:558141,75748,0 (70,7:26351166,23048608:199339,75748,0 x70,7:26550505,23048608 ) ) (70,7:26729906,23048608:558141,75748,0 (70,7:26909307,23048608:199339,75748,0 x70,7:27108646,23048608 ) ) (70,7:27288047,23048608:558141,75748,0 (70,7:27467448,23048608:199339,75748,0 x70,7:27666787,23048608 ) ) (70,7:27846188,23048608:558141,75748,0 (70,7:28025589,23048608:199339,75748,0 x70,7:28224928,23048608 ) ) (70,7:28404329,23048608:558141,75748,0 (70,7:28583730,23048608:199339,75748,0 x70,7:28783069,23048608 ) ) (70,7:29117476,23048608:1112314,462465,0 k70,7:29870980,23048608:753504 x70,7:30229790,23048608 ) g70,7:28399855,23048608 g70,7:30229790,23048608 ) (70,8:6636830,23939898:23592960,498346,0 g70,8:14602427,23939898 h70,8:14602427,23939898:5023347,0,0 h70,8:19625774,23939898:0,0,0 g70,8:11660177,23939898 x70,8:13721341,23939898 g70,8:13960547,23939898 x70,8:14428994,23939898 x70,8:16324705,23939898 x70,8:18264273,23939898 (70,8:18357791,23939898:558141,75748,0 $70,8:18357791,23939898 (70,8:18537192,23939898:199339,75748,0 x70,8:18736531,23939898 ) $70,8:18915932,23939898 ) (70,8:18915932,23939898:558141,75748,0 (70,8:19095333,23939898:199339,75748,0 x70,8:19294672,23939898 ) ) (70,8:19474073,23939898:558141,75748,0 (70,8:19653474,23939898:199339,75748,0 x70,8:19852813,23939898 ) ) (70,8:20032214,23939898:558141,75748,0 (70,8:20211615,23939898:199339,75748,0 x70,8:20410954,23939898 ) ) (70,8:20590355,23939898:558141,75748,0 (70,8:20769756,23939898:199339,75748,0 x70,8:20969095,23939898 ) ) (70,8:21148496,23939898:558141,75748,0 (70,8:21327897,23939898:199339,75748,0 x70,8:21527236,23939898 ) ) (70,8:21706637,23939898:558141,75748,0 (70,8:21886038,23939898:199339,75748,0 x70,8:22085377,23939898 ) ) (70,8:22264778,23939898:558141,75748,0 (70,8:22444179,23939898:199339,75748,0 x70,8:22643518,23939898 ) ) (70,8:22822919,23939898:558141,75748,0 (70,8:23002320,23939898:199339,75748,0 x70,8:23201659,23939898 ) ) (70,8:23381060,23939898:558141,75748,0 (70,8:23560461,23939898:199339,75748,0 x70,8:23759800,23939898 ) ) (70,8:23939201,23939898:558141,75748,0 (70,8:24118602,23939898:199339,75748,0 x70,8:24317941,23939898 ) ) (70,8:24497342,23939898:558141,75748,0 (70,8:24676743,23939898:199339,75748,0 x70,8:24876082,23939898 ) ) (70,8:25055483,23939898:558141,75748,0 (70,8:25234884,23939898:199339,75748,0 x70,8:25434223,23939898 ) ) (70,8:25613624,23939898:558141,75748,0 (70,8:25793025,23939898:199339,75748,0 x70,8:25992364,23939898 ) ) (70,8:26171765,23939898:558141,75748,0 (70,8:26351166,23939898:199339,75748,0 x70,8:26550505,23939898 ) ) (70,8:26729906,23939898:558141,75748,0 (70,8:26909307,23939898:199339,75748,0 x70,8:27108646,23939898 ) ) (70,8:27288047,23939898:558141,75748,0 (70,8:27467448,23939898:199339,75748,0 x70,8:27666787,23939898 ) ) (70,8:27846188,23939898:558141,75748,0 (70,8:28025589,23939898:199339,75748,0 x70,8:28224928,23939898 ) ) (70,8:28404329,23939898:558141,75748,0 (70,8:28583730,23939898:199339,75748,0 x70,8:28783069,23939898 ) ) (70,8:29117476,23939898:1112314,462465,0 k70,8:29870980,23939898:753504 x70,8:30229790,23939898 ) g70,8:28399855,23939898 g70,8:30229790,23939898 ) (70,9:6636830,24831188:23592960,490372,0 g70,9:14602427,24831188 h70,9:14602427,24831188:5023347,0,0 h70,9:19625774,24831188:0,0,0 g70,9:11660177,24831188 x70,9:13799084,24831188 (70,9:13892663,24831188:558141,75748,0 $70,9:13892663,24831188 (70,9:14072064,24831188:199339,75748,0 x70,9:14271403,24831188 ) $70,9:14450804,24831188 ) (70,9:14450804,24831188:558141,75748,0 (70,9:14630205,24831188:199339,75748,0 x70,9:14829544,24831188 ) ) (70,9:15008945,24831188:558141,75748,0 (70,9:15188346,24831188:199339,75748,0 x70,9:15387685,24831188 ) ) (70,9:15567086,24831188:558141,75748,0 (70,9:15746487,24831188:199339,75748,0 x70,9:15945826,24831188 ) ) (70,9:16125227,24831188:558141,75748,0 (70,9:16304628,24831188:199339,75748,0 x70,9:16503967,24831188 ) ) (70,9:16683368,24831188:558141,75748,0 (70,9:16862769,24831188:199339,75748,0 x70,9:17062108,24831188 ) ) (70,9:17241509,24831188:558141,75748,0 (70,9:17420910,24831188:199339,75748,0 x70,9:17620249,24831188 ) ) (70,9:17799650,24831188:558141,75748,0 (70,9:17979051,24831188:199339,75748,0 x70,9:18178390,24831188 ) ) (70,9:18357791,24831188:558141,75748,0 (70,9:18537192,24831188:199339,75748,0 x70,9:18736531,24831188 ) ) (70,9:18915932,24831188:558141,75748,0 (70,9:19095333,24831188:199339,75748,0 x70,9:19294672,24831188 ) ) (70,9:19474073,24831188:558141,75748,0 (70,9:19653474,24831188:199339,75748,0 x70,9:19852813,24831188 ) ) (70,9:20032214,24831188:558141,75748,0 (70,9:20211615,24831188:199339,75748,0 x70,9:20410954,24831188 ) ) (70,9:20590355,24831188:558141,75748,0 (70,9:20769756,24831188:199339,75748,0 x70,9:20969095,24831188 ) ) (70,9:21148496,24831188:558141,75748,0 (70,9:21327897,24831188:199339,75748,0 x70,9:21527236,24831188 ) ) (70,9:21706637,24831188:558141,75748,0 (70,9:21886038,24831188:199339,75748,0 x70,9:22085377,24831188 ) ) (70,9:22264778,24831188:558141,75748,0 (70,9:22444179,24831188:199339,75748,0 x70,9:22643518,24831188 ) ) (70,9:22822919,24831188:558141,75748,0 (70,9:23002320,24831188:199339,75748,0 x70,9:23201659,24831188 ) ) (70,9:23381060,24831188:558141,75748,0 (70,9:23560461,24831188:199339,75748,0 x70,9:23759800,24831188 ) ) (70,9:23939201,24831188:558141,75748,0 (70,9:24118602,24831188:199339,75748,0 x70,9:24317941,24831188 ) ) (70,9:24497342,24831188:558141,75748,0 (70,9:24676743,24831188:199339,75748,0 x70,9:24876082,24831188 ) ) (70,9:25055483,24831188:558141,75748,0 (70,9:25234884,24831188:199339,75748,0 x70,9:25434223,24831188 ) ) (70,9:25613624,24831188:558141,75748,0 (70,9:25793025,24831188:199339,75748,0 x70,9:25992364,24831188 ) ) (70,9:26171765,24831188:558141,75748,0 (70,9:26351166,24831188:199339,75748,0 x70,9:26550505,24831188 ) ) (70,9:26729906,24831188:558141,75748,0 (70,9:26909307,24831188:199339,75748,0 x70,9:27108646,24831188 ) ) (70,9:27288047,24831188:558141,75748,0 (70,9:27467448,24831188:199339,75748,0 x70,9:27666787,24831188 ) ) (70,9:27846188,24831188:558141,75748,0 (70,9:28025589,24831188:199339,75748,0 x70,9:28224928,24831188 ) ) (70,9:28404329,24831188:558141,75748,0 (70,9:28583730,24831188:199339,75748,0 x70,9:28783069,24831188 ) ) (70,9:29117476,24831188:1112314,462465,0 k70,9:29870980,24831188:753504 x70,9:30229790,24831188 ) g70,9:28399855,24831188 g70,9:30229790,24831188 ) (70,10:6636830,25722478:23592960,498346,0 g70,10:14602427,25722478 h70,10:14602427,25722478:5023347,0,0 h70,10:19625774,25722478:0,0,0 g70,10:11660177,25722478 x70,10:14474843,25722478 (70,10:15008945,25722478:558141,75748,0 $70,10:15008945,25722478 (70,10:15188346,25722478:199339,75748,0 x70,10:15387685,25722478 ) $70,10:15567086,25722478 ) (70,10:15567086,25722478:558141,75748,0 (70,10:15746487,25722478:199339,75748,0 x70,10:15945826,25722478 ) ) (70,10:16125227,25722478:558141,75748,0 (70,10:16304628,25722478:199339,75748,0 x70,10:16503967,25722478 ) ) (70,10:16683368,25722478:558141,75748,0 (70,10:16862769,25722478:199339,75748,0 x70,10:17062108,25722478 ) ) (70,10:17241509,25722478:558141,75748,0 (70,10:17420910,25722478:199339,75748,0 x70,10:17620249,25722478 ) ) (70,10:17799650,25722478:558141,75748,0 (70,10:17979051,25722478:199339,75748,0 x70,10:18178390,25722478 ) ) (70,10:18357791,25722478:558141,75748,0 (70,10:18537192,25722478:199339,75748,0 x70,10:18736531,25722478 ) ) (70,10:18915932,25722478:558141,75748,0 (70,10:19095333,25722478:199339,75748,0 x70,10:19294672,25722478 ) ) (70,10:19474073,25722478:558141,75748,0 (70,10:19653474,25722478:199339,75748,0 x70,10:19852813,25722478 ) ) (70,10:20032214,25722478:558141,75748,0 (70,10:20211615,25722478:199339,75748,0 x70,10:20410954,25722478 ) ) (70,10:20590355,25722478:558141,75748,0 (70,10:20769756,25722478:199339,75748,0 x70,10:20969095,25722478 ) ) (70,10:21148496,25722478:558141,75748,0 (70,10:21327897,25722478:199339,75748,0 x70,10:21527236,25722478 ) ) (70,10:21706637,25722478:558141,75748,0 (70,10:21886038,25722478:199339,75748,0 x70,10:22085377,25722478 ) ) (70,10:22264778,25722478:558141,75748,0 (70,10:22444179,25722478:199339,75748,0 x70,10:22643518,25722478 ) ) (70,10:22822919,25722478:558141,75748,0 (70,10:23002320,25722478:199339,75748,0 x70,10:23201659,25722478 ) ) (70,10:23381060,25722478:558141,75748,0 (70,10:23560461,25722478:199339,75748,0 x70,10:23759800,25722478 ) ) (70,10:23939201,25722478:558141,75748,0 (70,10:24118602,25722478:199339,75748,0 x70,10:24317941,25722478 ) ) (70,10:24497342,25722478:558141,75748,0 (70,10:24676743,25722478:199339,75748,0 x70,10:24876082,25722478 ) ) (70,10:25055483,25722478:558141,75748,0 (70,10:25234884,25722478:199339,75748,0 x70,10:25434223,25722478 ) ) (70,10:25613624,25722478:558141,75748,0 (70,10:25793025,25722478:199339,75748,0 x70,10:25992364,25722478 ) ) (70,10:26171765,25722478:558141,75748,0 (70,10:26351166,25722478:199339,75748,0 x70,10:26550505,25722478 ) ) (70,10:26729906,25722478:558141,75748,0 (70,10:26909307,25722478:199339,75748,0 x70,10:27108646,25722478 ) ) (70,10:27288047,25722478:558141,75748,0 (70,10:27467448,25722478:199339,75748,0 x70,10:27666787,25722478 ) ) (70,10:27846188,25722478:558141,75748,0 (70,10:28025589,25722478:199339,75748,0 x70,10:28224928,25722478 ) ) (70,10:28404329,25722478:558141,75748,0 (70,10:28583730,25722478:199339,75748,0 x70,10:28783069,25722478 ) ) (70,10:29117476,25722478:1112314,462465,0 k70,10:29870980,25722478:753504 x70,10:30229790,25722478 ) g70,10:28399855,25722478 g70,10:30229790,25722478 ) (70,11:6636830,26613768:23592960,498346,0 g70,11:11660176,26613768 h70,11:11660176,26613768:2726961,0,0 h70,11:14387137,26613768:0,0,0 g70,11:9363791,26613768 (70,11:9363791,26613768:2296385,462465,0 x70,11:10838899,26613768 k70,11:11660176,26613768:821277 ) x70,11:14777838,26613768 g70,11:15017044,26613768 x70,11:16880864,26613768 x70,11:17399145,26613768 g70,11:17638351,26613768 x70,11:19506157,26613768 (70,11:20032214,26613768:558141,75748,0 $70,11:20032214,26613768 (70,11:20211615,26613768:199339,75748,0 x70,11:20410954,26613768 ) $70,11:20590355,26613768 ) (70,11:20590355,26613768:558141,75748,0 (70,11:20769756,26613768:199339,75748,0 x70,11:20969095,26613768 ) ) (70,11:21148496,26613768:558141,75748,0 (70,11:21327897,26613768:199339,75748,0 x70,11:21527236,26613768 ) ) (70,11:21706637,26613768:558141,75748,0 (70,11:21886038,26613768:199339,75748,0 x70,11:22085377,26613768 ) ) (70,11:22264778,26613768:558141,75748,0 (70,11:22444179,26613768:199339,75748,0 x70,11:22643518,26613768 ) ) (70,11:22822919,26613768:558141,75748,0 (70,11:23002320,26613768:199339,75748,0 x70,11:23201659,26613768 ) ) (70,11:23381060,26613768:558141,75748,0 (70,11:23560461,26613768:199339,75748,0 x70,11:23759800,26613768 ) ) (70,11:23939201,26613768:558141,75748,0 (70,11:24118602,26613768:199339,75748,0 x70,11:24317941,26613768 ) ) (70,11:24497342,26613768:558141,75748,0 (70,11:24676743,26613768:199339,75748,0 x70,11:24876082,26613768 ) ) (70,11:25055483,26613768:558141,75748,0 (70,11:25234884,26613768:199339,75748,0 x70,11:25434223,26613768 ) ) (70,11:25613624,26613768:558141,75748,0 (70,11:25793025,26613768:199339,75748,0 x70,11:25992364,26613768 ) ) (70,11:26171765,26613768:558141,75748,0 (70,11:26351166,26613768:199339,75748,0 x70,11:26550505,26613768 ) ) (70,11:26729906,26613768:558141,75748,0 (70,11:26909307,26613768:199339,75748,0 x70,11:27108646,26613768 ) ) (70,11:27288047,26613768:558141,75748,0 (70,11:27467448,26613768:199339,75748,0 x70,11:27666787,26613768 ) ) (70,11:27846188,26613768:558141,75748,0 (70,11:28025589,26613768:199339,75748,0 x70,11:28224928,26613768 ) ) (70,11:28404329,26613768:558141,75748,0 (70,11:28583730,26613768:199339,75748,0 x70,11:28783069,26613768 ) ) (70,11:29117476,26613768:1112314,462465,0 k70,11:29870980,26613768:753504 x70,11:30229790,26613768 ) g70,11:28399855,26613768 g70,11:30229790,26613768 ) (70,12:6636830,27505058:23592960,498346,0 g70,12:9363791,27505058 h70,12:9363791,27505058:1076431,0,0 h70,12:10440222,27505058:0,0,0 g70,12:7713261,27505058 (70,12:7713261,27505058:1650530,462465,0 x70,12:8630220,27505058 k70,12:9363791,27505058:733571 ) x70,12:11430936,27505058 g70,12:11670142,27505058 x70,12:13288774,27505058 (70,12:13334522,27505058:558141,75748,0 $70,12:13334522,27505058 (70,12:13513923,27505058:199339,75748,0 x70,12:13713262,27505058 ) $70,12:13892663,27505058 ) (70,12:13892663,27505058:558141,75748,0 (70,12:14072064,27505058:199339,75748,0 x70,12:14271403,27505058 ) ) (70,12:14450804,27505058:558141,75748,0 (70,12:14630205,27505058:199339,75748,0 x70,12:14829544,27505058 ) ) (70,12:15008945,27505058:558141,75748,0 (70,12:15188346,27505058:199339,75748,0 x70,12:15387685,27505058 ) ) (70,12:15567086,27505058:558141,75748,0 (70,12:15746487,27505058:199339,75748,0 x70,12:15945826,27505058 ) ) (70,12:16125227,27505058:558141,75748,0 (70,12:16304628,27505058:199339,75748,0 x70,12:16503967,27505058 ) ) (70,12:16683368,27505058:558141,75748,0 (70,12:16862769,27505058:199339,75748,0 x70,12:17062108,27505058 ) ) (70,12:17241509,27505058:558141,75748,0 (70,12:17420910,27505058:199339,75748,0 x70,12:17620249,27505058 ) ) (70,12:17799650,27505058:558141,75748,0 (70,12:17979051,27505058:199339,75748,0 x70,12:18178390,27505058 ) ) (70,12:18357791,27505058:558141,75748,0 (70,12:18537192,27505058:199339,75748,0 x70,12:18736531,27505058 ) ) (70,12:18915932,27505058:558141,75748,0 (70,12:19095333,27505058:199339,75748,0 x70,12:19294672,27505058 ) ) (70,12:19474073,27505058:558141,75748,0 (70,12:19653474,27505058:199339,75748,0 x70,12:19852813,27505058 ) ) (70,12:20032214,27505058:558141,75748,0 (70,12:20211615,27505058:199339,75748,0 x70,12:20410954,27505058 ) ) (70,12:20590355,27505058:558141,75748,0 (70,12:20769756,27505058:199339,75748,0 x70,12:20969095,27505058 ) ) (70,12:21148496,27505058:558141,75748,0 (70,12:21327897,27505058:199339,75748,0 x70,12:21527236,27505058 ) ) (70,12:21706637,27505058:558141,75748,0 (70,12:21886038,27505058:199339,75748,0 x70,12:22085377,27505058 ) ) (70,12:22264778,27505058:558141,75748,0 (70,12:22444179,27505058:199339,75748,0 x70,12:22643518,27505058 ) ) (70,12:22822919,27505058:558141,75748,0 (70,12:23002320,27505058:199339,75748,0 x70,12:23201659,27505058 ) ) (70,12:23381060,27505058:558141,75748,0 (70,12:23560461,27505058:199339,75748,0 x70,12:23759800,27505058 ) ) (70,12:23939201,27505058:558141,75748,0 (70,12:24118602,27505058:199339,75748,0 x70,12:24317941,27505058 ) ) (70,12:24497342,27505058:558141,75748,0 (70,12:24676743,27505058:199339,75748,0 x70,12:24876082,27505058 ) ) (70,12:25055483,27505058:558141,75748,0 (70,12:25234884,27505058:199339,75748,0 x70,12:25434223,27505058 ) ) (70,12:25613624,27505058:558141,75748,0 (70,12:25793025,27505058:199339,75748,0 x70,12:25992364,27505058 ) ) (70,12:26171765,27505058:558141,75748,0 (70,12:26351166,27505058:199339,75748,0 x70,12:26550505,27505058 ) ) (70,12:26729906,27505058:558141,75748,0 (70,12:26909307,27505058:199339,75748,0 x70,12:27108646,27505058 ) ) (70,12:27288047,27505058:558141,75748,0 (70,12:27467448,27505058:199339,75748,0 x70,12:27666787,27505058 ) ) (70,12:27846188,27505058:558141,75748,0 (70,12:28025589,27505058:199339,75748,0 x70,12:28224928,27505058 ) ) (70,12:28404329,27505058:558141,75748,0 (70,12:28583730,27505058:199339,75748,0 x70,12:28783069,27505058 ) ) (70,12:29117476,27505058:1112314,462465,0 k70,12:29870980,27505058:753504 x70,12:30229790,27505058 ) g70,12:28399855,27505058 g70,12:30229790,27505058 ) (70,13:6636830,28396348:23592960,498346,0 g70,13:11660176,28396348 h70,13:11660176,28396348:2726961,0,0 h70,13:14387137,28396348:0,0,0 g70,13:9363791,28396348 (70,13:9363791,28396348:2296385,462465,0 x70,13:10838899,28396348 k70,13:11660176,28396348:821277 ) x70,13:13278808,28396348 g70,13:13518014,28396348 x70,13:15822373,28396348 x70,13:16998474,28396348 (70,13:17241509,28396348:558141,75748,0 $70,13:17241509,28396348 (70,13:17420910,28396348:199339,75748,0 x70,13:17620249,28396348 ) $70,13:17799650,28396348 ) (70,13:17799650,28396348:558141,75748,0 (70,13:17979051,28396348:199339,75748,0 x70,13:18178390,28396348 ) ) (70,13:18357791,28396348:558141,75748,0 (70,13:18537192,28396348:199339,75748,0 x70,13:18736531,28396348 ) ) (70,13:18915932,28396348:558141,75748,0 (70,13:19095333,28396348:199339,75748,0 x70,13:19294672,28396348 ) ) (70,13:19474073,28396348:558141,75748,0 (70,13:19653474,28396348:199339,75748,0 x70,13:19852813,28396348 ) ) (70,13:20032214,28396348:558141,75748,0 (70,13:20211615,28396348:199339,75748,0 x70,13:20410954,28396348 ) ) (70,13:20590355,28396348:558141,75748,0 (70,13:20769756,28396348:199339,75748,0 x70,13:20969095,28396348 ) ) (70,13:21148496,28396348:558141,75748,0 (70,13:21327897,28396348:199339,75748,0 x70,13:21527236,28396348 ) ) (70,13:21706637,28396348:558141,75748,0 (70,13:21886038,28396348:199339,75748,0 x70,13:22085377,28396348 ) ) (70,13:22264778,28396348:558141,75748,0 (70,13:22444179,28396348:199339,75748,0 x70,13:22643518,28396348 ) ) (70,13:22822919,28396348:558141,75748,0 (70,13:23002320,28396348:199339,75748,0 x70,13:23201659,28396348 ) ) (70,13:23381060,28396348:558141,75748,0 (70,13:23560461,28396348:199339,75748,0 x70,13:23759800,28396348 ) ) (70,13:23939201,28396348:558141,75748,0 (70,13:24118602,28396348:199339,75748,0 x70,13:24317941,28396348 ) ) (70,13:24497342,28396348:558141,75748,0 (70,13:24676743,28396348:199339,75748,0 x70,13:24876082,28396348 ) ) (70,13:25055483,28396348:558141,75748,0 (70,13:25234884,28396348:199339,75748,0 x70,13:25434223,28396348 ) ) (70,13:25613624,28396348:558141,75748,0 (70,13:25793025,28396348:199339,75748,0 x70,13:25992364,28396348 ) ) (70,13:26171765,28396348:558141,75748,0 (70,13:26351166,28396348:199339,75748,0 x70,13:26550505,28396348 ) ) (70,13:26729906,28396348:558141,75748,0 (70,13:26909307,28396348:199339,75748,0 x70,13:27108646,28396348 ) ) (70,13:27288047,28396348:558141,75748,0 (70,13:27467448,28396348:199339,75748,0 x70,13:27666787,28396348 ) ) (70,13:27846188,28396348:558141,75748,0 (70,13:28025589,28396348:199339,75748,0 x70,13:28224928,28396348 ) ) (70,13:28404329,28396348:558141,75748,0 (70,13:28583730,28396348:199339,75748,0 x70,13:28783069,28396348 ) ) (70,13:29117476,28396348:1112314,462465,0 k70,13:29870980,28396348:753504 x70,13:30229790,28396348 ) g70,13:28399855,28396348 g70,13:30229790,28396348 ) (70,14:6636830,29287638:23592960,498346,0 g70,14:11660176,29287638 h70,14:11660176,29287638:2726961,0,0 h70,14:14387137,29287638:0,0,0 g70,14:9363791,29287638 (70,14:9363791,29287638:2296385,462465,0 x70,14:10838899,29287638 k70,14:11660176,29287638:821277 ) x70,14:13278808,29287638 g70,14:13518014,29287638 x70,14:15710743,29287638 x70,14:16886844,29287638 (70,14:17241509,29287638:558141,75748,0 $70,14:17241509,29287638 (70,14:17420910,29287638:199339,75748,0 x70,14:17620249,29287638 ) $70,14:17799650,29287638 ) (70,14:17799650,29287638:558141,75748,0 (70,14:17979051,29287638:199339,75748,0 x70,14:18178390,29287638 ) ) (70,14:18357791,29287638:558141,75748,0 (70,14:18537192,29287638:199339,75748,0 x70,14:18736531,29287638 ) ) (70,14:18915932,29287638:558141,75748,0 (70,14:19095333,29287638:199339,75748,0 x70,14:19294672,29287638 ) ) (70,14:19474073,29287638:558141,75748,0 (70,14:19653474,29287638:199339,75748,0 x70,14:19852813,29287638 ) ) (70,14:20032214,29287638:558141,75748,0 (70,14:20211615,29287638:199339,75748,0 x70,14:20410954,29287638 ) ) (70,14:20590355,29287638:558141,75748,0 (70,14:20769756,29287638:199339,75748,0 x70,14:20969095,29287638 ) ) (70,14:21148496,29287638:558141,75748,0 (70,14:21327897,29287638:199339,75748,0 x70,14:21527236,29287638 ) ) (70,14:21706637,29287638:558141,75748,0 (70,14:21886038,29287638:199339,75748,0 x70,14:22085377,29287638 ) ) (70,14:22264778,29287638:558141,75748,0 (70,14:22444179,29287638:199339,75748,0 x70,14:22643518,29287638 ) ) (70,14:22822919,29287638:558141,75748,0 (70,14:23002320,29287638:199339,75748,0 x70,14:23201659,29287638 ) ) (70,14:23381060,29287638:558141,75748,0 (70,14:23560461,29287638:199339,75748,0 x70,14:23759800,29287638 ) ) (70,14:23939201,29287638:558141,75748,0 (70,14:24118602,29287638:199339,75748,0 x70,14:24317941,29287638 ) ) (70,14:24497342,29287638:558141,75748,0 (70,14:24676743,29287638:199339,75748,0 x70,14:24876082,29287638 ) ) (70,14:25055483,29287638:558141,75748,0 (70,14:25234884,29287638:199339,75748,0 x70,14:25434223,29287638 ) ) (70,14:25613624,29287638:558141,75748,0 (70,14:25793025,29287638:199339,75748,0 x70,14:25992364,29287638 ) ) (70,14:26171765,29287638:558141,75748,0 (70,14:26351166,29287638:199339,75748,0 x70,14:26550505,29287638 ) ) (70,14:26729906,29287638:558141,75748,0 (70,14:26909307,29287638:199339,75748,0 x70,14:27108646,29287638 ) ) (70,14:27288047,29287638:558141,75748,0 (70,14:27467448,29287638:199339,75748,0 x70,14:27666787,29287638 ) ) (70,14:27846188,29287638:558141,75748,0 (70,14:28025589,29287638:199339,75748,0 x70,14:28224928,29287638 ) ) (70,14:28404329,29287638:558141,75748,0 (70,14:28583730,29287638:199339,75748,0 x70,14:28783069,29287638 ) ) (70,14:29117476,29287638:1112314,462465,0 k70,14:29870980,29287638:753504 x70,14:30229790,29287638 ) g70,14:28399855,29287638 g70,14:30229790,29287638 ) (70,15:6636830,30178928:23592960,498346,0 g70,15:11660176,30178928 h70,15:11660176,30178928:2726961,0,0 h70,15:14387137,30178928:0,0,0 g70,15:9363791,30178928 (70,15:9363791,30178928:2296385,462465,0 x70,15:10838899,30178928 k70,15:11660176,30178928:821277 ) x70,15:13278808,30178928 g70,15:13518014,30178928 x70,15:15381834,30178928 x70,15:15900115,30178928 g70,15:16139321,30178928 x70,15:18007127,30178928 (70,15:18357791,30178928:558141,75748,0 $70,15:18357791,30178928 (70,15:18537192,30178928:199339,75748,0 x70,15:18736531,30178928 ) $70,15:18915932,30178928 ) (70,15:18915932,30178928:558141,75748,0 (70,15:19095333,30178928:199339,75748,0 x70,15:19294672,30178928 ) ) (70,15:19474073,30178928:558141,75748,0 (70,15:19653474,30178928:199339,75748,0 x70,15:19852813,30178928 ) ) (70,15:20032214,30178928:558141,75748,0 (70,15:20211615,30178928:199339,75748,0 x70,15:20410954,30178928 ) ) (70,15:20590355,30178928:558141,75748,0 (70,15:20769756,30178928:199339,75748,0 x70,15:20969095,30178928 ) ) (70,15:21148496,30178928:558141,75748,0 (70,15:21327897,30178928:199339,75748,0 x70,15:21527236,30178928 ) ) (70,15:21706637,30178928:558141,75748,0 (70,15:21886038,30178928:199339,75748,0 x70,15:22085377,30178928 ) ) (70,15:22264778,30178928:558141,75748,0 (70,15:22444179,30178928:199339,75748,0 x70,15:22643518,30178928 ) ) (70,15:22822919,30178928:558141,75748,0 (70,15:23002320,30178928:199339,75748,0 x70,15:23201659,30178928 ) ) (70,15:23381060,30178928:558141,75748,0 (70,15:23560461,30178928:199339,75748,0 x70,15:23759800,30178928 ) ) (70,15:23939201,30178928:558141,75748,0 (70,15:24118602,30178928:199339,75748,0 x70,15:24317941,30178928 ) ) (70,15:24497342,30178928:558141,75748,0 (70,15:24676743,30178928:199339,75748,0 x70,15:24876082,30178928 ) ) (70,15:25055483,30178928:558141,75748,0 (70,15:25234884,30178928:199339,75748,0 x70,15:25434223,30178928 ) ) (70,15:25613624,30178928:558141,75748,0 (70,15:25793025,30178928:199339,75748,0 x70,15:25992364,30178928 ) ) (70,15:26171765,30178928:558141,75748,0 (70,15:26351166,30178928:199339,75748,0 x70,15:26550505,30178928 ) ) (70,15:26729906,30178928:558141,75748,0 (70,15:26909307,30178928:199339,75748,0 x70,15:27108646,30178928 ) ) (70,15:27288047,30178928:558141,75748,0 (70,15:27467448,30178928:199339,75748,0 x70,15:27666787,30178928 ) ) (70,15:27846188,30178928:558141,75748,0 (70,15:28025589,30178928:199339,75748,0 x70,15:28224928,30178928 ) ) (70,15:28404329,30178928:558141,75748,0 (70,15:28583730,30178928:199339,75748,0 x70,15:28783069,30178928 ) ) (70,15:29117476,30178928:1112314,462465,0 k70,15:29870980,30178928:753504 x70,15:30229790,30178928 ) g70,15:28399855,30178928 g70,15:30229790,30178928 ) (70,16:6636830,31070218:23592960,498346,0 g70,16:9363791,31070218 h70,16:9363791,31070218:1076431,0,0 h70,16:10440222,31070218:0,0,0 g70,16:7713261,31070218 (70,16:7713261,31070218:1650530,462465,0 x70,16:8630220,31070218 k70,16:9363791,31070218:733571 ) x70,16:11430936,31070218 g70,16:11670142,31070218 x70,16:14594444,31070218 (70,16:15008945,31070218:558141,75748,0 $70,16:15008945,31070218 (70,16:15188346,31070218:199339,75748,0 x70,16:15387685,31070218 ) $70,16:15567086,31070218 ) (70,16:15567086,31070218:558141,75748,0 (70,16:15746487,31070218:199339,75748,0 x70,16:15945826,31070218 ) ) (70,16:16125227,31070218:558141,75748,0 (70,16:16304628,31070218:199339,75748,0 x70,16:16503967,31070218 ) ) (70,16:16683368,31070218:558141,75748,0 (70,16:16862769,31070218:199339,75748,0 x70,16:17062108,31070218 ) ) (70,16:17241509,31070218:558141,75748,0 (70,16:17420910,31070218:199339,75748,0 x70,16:17620249,31070218 ) ) (70,16:17799650,31070218:558141,75748,0 (70,16:17979051,31070218:199339,75748,0 x70,16:18178390,31070218 ) ) (70,16:18357791,31070218:558141,75748,0 (70,16:18537192,31070218:199339,75748,0 x70,16:18736531,31070218 ) ) (70,16:18915932,31070218:558141,75748,0 (70,16:19095333,31070218:199339,75748,0 x70,16:19294672,31070218 ) ) (70,16:19474073,31070218:558141,75748,0 (70,16:19653474,31070218:199339,75748,0 x70,16:19852813,31070218 ) ) (70,16:20032214,31070218:558141,75748,0 (70,16:20211615,31070218:199339,75748,0 x70,16:20410954,31070218 ) ) (70,16:20590355,31070218:558141,75748,0 (70,16:20769756,31070218:199339,75748,0 x70,16:20969095,31070218 ) ) (70,16:21148496,31070218:558141,75748,0 (70,16:21327897,31070218:199339,75748,0 x70,16:21527236,31070218 ) ) (70,16:21706637,31070218:558141,75748,0 (70,16:21886038,31070218:199339,75748,0 x70,16:22085377,31070218 ) ) (70,16:22264778,31070218:558141,75748,0 (70,16:22444179,31070218:199339,75748,0 x70,16:22643518,31070218 ) ) (70,16:22822919,31070218:558141,75748,0 (70,16:23002320,31070218:199339,75748,0 x70,16:23201659,31070218 ) ) (70,16:23381060,31070218:558141,75748,0 (70,16:23560461,31070218:199339,75748,0 x70,16:23759800,31070218 ) ) (70,16:23939201,31070218:558141,75748,0 (70,16:24118602,31070218:199339,75748,0 x70,16:24317941,31070218 ) ) (70,16:24497342,31070218:558141,75748,0 (70,16:24676743,31070218:199339,75748,0 x70,16:24876082,31070218 ) ) (70,16:25055483,31070218:558141,75748,0 (70,16:25234884,31070218:199339,75748,0 x70,16:25434223,31070218 ) ) (70,16:25613624,31070218:558141,75748,0 (70,16:25793025,31070218:199339,75748,0 x70,16:25992364,31070218 ) ) (70,16:26171765,31070218:558141,75748,0 (70,16:26351166,31070218:199339,75748,0 x70,16:26550505,31070218 ) ) (70,16:26729906,31070218:558141,75748,0 (70,16:26909307,31070218:199339,75748,0 x70,16:27108646,31070218 ) ) (70,16:27288047,31070218:558141,75748,0 (70,16:27467448,31070218:199339,75748,0 x70,16:27666787,31070218 ) ) (70,16:27846188,31070218:558141,75748,0 (70,16:28025589,31070218:199339,75748,0 x70,16:28224928,31070218 ) ) (70,16:28404329,31070218:558141,75748,0 (70,16:28583730,31070218:199339,75748,0 x70,16:28783069,31070218 ) ) (70,16:29117476,31070218:1112314,462465,0 k70,16:29870980,31070218:753504 x70,16:30229790,31070218 ) g70,16:28399855,31070218 g70,16:30229790,31070218 ) (70,17:6636830,31961508:23592960,498346,0 g70,17:9363791,31961508 h70,17:9363791,31961508:1076431,0,0 h70,17:10440222,31961508:0,0,0 g70,17:7713261,31961508 (70,17:7713261,31961508:1650530,462465,0 x70,17:8630220,31961508 k70,17:9363791,31961508:733571 ) x70,17:11430936,31961508 g70,17:11670142,31961508 x70,17:13936626,31961508 (70,17:14450804,31961508:558141,75748,0 $70,17:14450804,31961508 (70,17:14630205,31961508:199339,75748,0 x70,17:14829544,31961508 ) $70,17:15008945,31961508 ) (70,17:15008945,31961508:558141,75748,0 (70,17:15188346,31961508:199339,75748,0 x70,17:15387685,31961508 ) ) (70,17:15567086,31961508:558141,75748,0 (70,17:15746487,31961508:199339,75748,0 x70,17:15945826,31961508 ) ) (70,17:16125227,31961508:558141,75748,0 (70,17:16304628,31961508:199339,75748,0 x70,17:16503967,31961508 ) ) (70,17:16683368,31961508:558141,75748,0 (70,17:16862769,31961508:199339,75748,0 x70,17:17062108,31961508 ) ) (70,17:17241509,31961508:558141,75748,0 (70,17:17420910,31961508:199339,75748,0 x70,17:17620249,31961508 ) ) (70,17:17799650,31961508:558141,75748,0 (70,17:17979051,31961508:199339,75748,0 x70,17:18178390,31961508 ) ) (70,17:18357791,31961508:558141,75748,0 (70,17:18537192,31961508:199339,75748,0 x70,17:18736531,31961508 ) ) (70,17:18915932,31961508:558141,75748,0 (70,17:19095333,31961508:199339,75748,0 x70,17:19294672,31961508 ) ) (70,17:19474073,31961508:558141,75748,0 (70,17:19653474,31961508:199339,75748,0 x70,17:19852813,31961508 ) ) (70,17:20032214,31961508:558141,75748,0 (70,17:20211615,31961508:199339,75748,0 x70,17:20410954,31961508 ) ) (70,17:20590355,31961508:558141,75748,0 (70,17:20769756,31961508:199339,75748,0 x70,17:20969095,31961508 ) ) (70,17:21148496,31961508:558141,75748,0 (70,17:21327897,31961508:199339,75748,0 x70,17:21527236,31961508 ) ) (70,17:21706637,31961508:558141,75748,0 (70,17:21886038,31961508:199339,75748,0 x70,17:22085377,31961508 ) ) (70,17:22264778,31961508:558141,75748,0 (70,17:22444179,31961508:199339,75748,0 x70,17:22643518,31961508 ) ) (70,17:22822919,31961508:558141,75748,0 (70,17:23002320,31961508:199339,75748,0 x70,17:23201659,31961508 ) ) (70,17:23381060,31961508:558141,75748,0 (70,17:23560461,31961508:199339,75748,0 x70,17:23759800,31961508 ) ) (70,17:23939201,31961508:558141,75748,0 (70,17:24118602,31961508:199339,75748,0 x70,17:24317941,31961508 ) ) (70,17:24497342,31961508:558141,75748,0 (70,17:24676743,31961508:199339,75748,0 x70,17:24876082,31961508 ) ) (70,17:25055483,31961508:558141,75748,0 (70,17:25234884,31961508:199339,75748,0 x70,17:25434223,31961508 ) ) (70,17:25613624,31961508:558141,75748,0 (70,17:25793025,31961508:199339,75748,0 x70,17:25992364,31961508 ) ) (70,17:26171765,31961508:558141,75748,0 (70,17:26351166,31961508:199339,75748,0 x70,17:26550505,31961508 ) ) (70,17:26729906,31961508:558141,75748,0 (70,17:26909307,31961508:199339,75748,0 x70,17:27108646,31961508 ) ) (70,17:27288047,31961508:558141,75748,0 (70,17:27467448,31961508:199339,75748,0 x70,17:27666787,31961508 ) ) (70,17:27846188,31961508:558141,75748,0 (70,17:28025589,31961508:199339,75748,0 x70,17:28224928,31961508 ) ) (70,17:28404329,31961508:558141,75748,0 (70,17:28583730,31961508:199339,75748,0 x70,17:28783069,31961508 ) ) (70,17:29117476,31961508:1112314,462465,0 k70,17:29870980,31961508:753504 x70,17:30229790,31961508 ) g70,17:28399855,31961508 g70,17:30229790,31961508 ) (70,18:6636830,32852798:23592960,498346,0 g70,18:11660176,32852798 h70,18:11660176,32852798:2726961,0,0 h70,18:14387137,32852798:0,0,0 g70,18:9363791,32852798 (70,18:9363791,32852798:2296385,462465,0 x70,18:10838899,32852798 k70,18:11660176,32852798:821277 ) x70,18:14319359,32852798 (70,18:14450804,32852798:558141,75748,0 $70,18:14450804,32852798 (70,18:14630205,32852798:199339,75748,0 x70,18:14829544,32852798 ) $70,18:15008945,32852798 ) (70,18:15008945,32852798:558141,75748,0 (70,18:15188346,32852798:199339,75748,0 x70,18:15387685,32852798 ) ) (70,18:15567086,32852798:558141,75748,0 (70,18:15746487,32852798:199339,75748,0 x70,18:15945826,32852798 ) ) (70,18:16125227,32852798:558141,75748,0 (70,18:16304628,32852798:199339,75748,0 x70,18:16503967,32852798 ) ) (70,18:16683368,32852798:558141,75748,0 (70,18:16862769,32852798:199339,75748,0 x70,18:17062108,32852798 ) ) (70,18:17241509,32852798:558141,75748,0 (70,18:17420910,32852798:199339,75748,0 x70,18:17620249,32852798 ) ) (70,18:17799650,32852798:558141,75748,0 (70,18:17979051,32852798:199339,75748,0 x70,18:18178390,32852798 ) ) (70,18:18357791,32852798:558141,75748,0 (70,18:18537192,32852798:199339,75748,0 x70,18:18736531,32852798 ) ) (70,18:18915932,32852798:558141,75748,0 (70,18:19095333,32852798:199339,75748,0 x70,18:19294672,32852798 ) ) (70,18:19474073,32852798:558141,75748,0 (70,18:19653474,32852798:199339,75748,0 x70,18:19852813,32852798 ) ) (70,18:20032214,32852798:558141,75748,0 (70,18:20211615,32852798:199339,75748,0 x70,18:20410954,32852798 ) ) (70,18:20590355,32852798:558141,75748,0 (70,18:20769756,32852798:199339,75748,0 x70,18:20969095,32852798 ) ) (70,18:21148496,32852798:558141,75748,0 (70,18:21327897,32852798:199339,75748,0 x70,18:21527236,32852798 ) ) (70,18:21706637,32852798:558141,75748,0 (70,18:21886038,32852798:199339,75748,0 x70,18:22085377,32852798 ) ) (70,18:22264778,32852798:558141,75748,0 (70,18:22444179,32852798:199339,75748,0 x70,18:22643518,32852798 ) ) (70,18:22822919,32852798:558141,75748,0 (70,18:23002320,32852798:199339,75748,0 x70,18:23201659,32852798 ) ) (70,18:23381060,32852798:558141,75748,0 (70,18:23560461,32852798:199339,75748,0 x70,18:23759800,32852798 ) ) (70,18:23939201,32852798:558141,75748,0 (70,18:24118602,32852798:199339,75748,0 x70,18:24317941,32852798 ) ) (70,18:24497342,32852798:558141,75748,0 (70,18:24676743,32852798:199339,75748,0 x70,18:24876082,32852798 ) ) (70,18:25055483,32852798:558141,75748,0 (70,18:25234884,32852798:199339,75748,0 x70,18:25434223,32852798 ) ) (70,18:25613624,32852798:558141,75748,0 (70,18:25793025,32852798:199339,75748,0 x70,18:25992364,32852798 ) ) (70,18:26171765,32852798:558141,75748,0 (70,18:26351166,32852798:199339,75748,0 x70,18:26550505,32852798 ) ) (70,18:26729906,32852798:558141,75748,0 (70,18:26909307,32852798:199339,75748,0 x70,18:27108646,32852798 ) ) (70,18:27288047,32852798:558141,75748,0 (70,18:27467448,32852798:199339,75748,0 x70,18:27666787,32852798 ) ) (70,18:27846188,32852798:558141,75748,0 (70,18:28025589,32852798:199339,75748,0 x70,18:28224928,32852798 ) ) (70,18:28404329,32852798:558141,75748,0 (70,18:28583730,32852798:199339,75748,0 x70,18:28783069,32852798 ) ) (70,18:29117476,32852798:1112314,462465,0 k70,18:29870980,32852798:753504 x70,18:30229790,32852798 ) g70,18:28399855,32852798 g70,18:30229790,32852798 ) (70,19:6636830,33744088:23592960,498346,139537 g70,19:14602427,33744088 h70,19:14602427,33744088:5023347,0,0 h70,19:19625774,33744088:0,0,0 g70,19:11660177,33744088 x70,19:14042277,33744088 g70,19:14281483,33744088 x70,19:14769863,33744088 x70,19:18286201,33744088 g70,19:18525407,33744088 x70,19:20648367,33744088 (70,19:21148496,33744088:558141,75748,0 $70,19:21148496,33744088 (70,19:21327897,33744088:199339,75748,0 x70,19:21527236,33744088 ) $70,19:21706637,33744088 ) (70,19:21706637,33744088:558141,75748,0 (70,19:21886038,33744088:199339,75748,0 x70,19:22085377,33744088 ) ) (70,19:22264778,33744088:558141,75748,0 (70,19:22444179,33744088:199339,75748,0 x70,19:22643518,33744088 ) ) (70,19:22822919,33744088:558141,75748,0 (70,19:23002320,33744088:199339,75748,0 x70,19:23201659,33744088 ) ) (70,19:23381060,33744088:558141,75748,0 (70,19:23560461,33744088:199339,75748,0 x70,19:23759800,33744088 ) ) (70,19:23939201,33744088:558141,75748,0 (70,19:24118602,33744088:199339,75748,0 x70,19:24317941,33744088 ) ) (70,19:24497342,33744088:558141,75748,0 (70,19:24676743,33744088:199339,75748,0 x70,19:24876082,33744088 ) ) (70,19:25055483,33744088:558141,75748,0 (70,19:25234884,33744088:199339,75748,0 x70,19:25434223,33744088 ) ) (70,19:25613624,33744088:558141,75748,0 (70,19:25793025,33744088:199339,75748,0 x70,19:25992364,33744088 ) ) (70,19:26171765,33744088:558141,75748,0 (70,19:26351166,33744088:199339,75748,0 x70,19:26550505,33744088 ) ) (70,19:26729906,33744088:558141,75748,0 (70,19:26909307,33744088:199339,75748,0 x70,19:27108646,33744088 ) ) (70,19:27288047,33744088:558141,75748,0 (70,19:27467448,33744088:199339,75748,0 x70,19:27666787,33744088 ) ) (70,19:27846188,33744088:558141,75748,0 (70,19:28025589,33744088:199339,75748,0 x70,19:28224928,33744088 ) ) (70,19:28404329,33744088:558141,75748,0 (70,19:28583730,33744088:199339,75748,0 x70,19:28783069,33744088 ) ) (70,19:29117476,33744088:1112314,462465,0 k70,19:29870980,33744088:753504 x70,19:30229790,33744088 ) g70,19:28399855,33744088 g70,19:30229790,33744088 ) (70,20:6636830,34635378:23592960,498346,139537 g70,20:14602427,34635378 h70,20:14602427,34635378:5023347,0,0 h70,20:19625774,34635378:0,0,0 g70,20:11660177,34635378 x70,20:14042277,34635378 g70,20:14281483,34635378 x70,20:14769863,34635378 x70,20:18286201,34635378 g70,20:18525407,34635378 x70,20:20758003,34635378 (70,20:21148496,34635378:558141,75748,0 $70,20:21148496,34635378 (70,20:21327897,34635378:199339,75748,0 x70,20:21527236,34635378 ) $70,20:21706637,34635378 ) (70,20:21706637,34635378:558141,75748,0 (70,20:21886038,34635378:199339,75748,0 x70,20:22085377,34635378 ) ) (70,20:22264778,34635378:558141,75748,0 (70,20:22444179,34635378:199339,75748,0 x70,20:22643518,34635378 ) ) (70,20:22822919,34635378:558141,75748,0 (70,20:23002320,34635378:199339,75748,0 x70,20:23201659,34635378 ) ) (70,20:23381060,34635378:558141,75748,0 (70,20:23560461,34635378:199339,75748,0 x70,20:23759800,34635378 ) ) (70,20:23939201,34635378:558141,75748,0 (70,20:24118602,34635378:199339,75748,0 x70,20:24317941,34635378 ) ) (70,20:24497342,34635378:558141,75748,0 (70,20:24676743,34635378:199339,75748,0 x70,20:24876082,34635378 ) ) (70,20:25055483,34635378:558141,75748,0 (70,20:25234884,34635378:199339,75748,0 x70,20:25434223,34635378 ) ) (70,20:25613624,34635378:558141,75748,0 (70,20:25793025,34635378:199339,75748,0 x70,20:25992364,34635378 ) ) (70,20:26171765,34635378:558141,75748,0 (70,20:26351166,34635378:199339,75748,0 x70,20:26550505,34635378 ) ) (70,20:26729906,34635378:558141,75748,0 (70,20:26909307,34635378:199339,75748,0 x70,20:27108646,34635378 ) ) (70,20:27288047,34635378:558141,75748,0 (70,20:27467448,34635378:199339,75748,0 x70,20:27666787,34635378 ) ) (70,20:27846188,34635378:558141,75748,0 (70,20:28025589,34635378:199339,75748,0 x70,20:28224928,34635378 ) ) (70,20:28404329,34635378:558141,75748,0 (70,20:28583730,34635378:199339,75748,0 x70,20:28783069,34635378 ) ) (70,20:29117476,34635378:1112314,462465,0 k70,20:29870980,34635378:753504 x70,20:30229790,34635378 ) g70,20:28399855,34635378 g70,20:30229790,34635378 ) (70,21:6636830,35526668:23592960,498346,139537 g70,21:14602427,35526668 h70,21:14602427,35526668:5023347,0,0 h70,21:19625774,35526668:0,0,0 g70,21:11660177,35526668 x70,21:14042277,35526668 g70,21:14281483,35526668 x70,21:14769863,35526668 x70,21:18286201,35526668 g70,21:18525407,35526668 x70,21:20120120,35526668 (70,21:20590355,35526668:558141,75748,0 $70,21:20590355,35526668 (70,21:20769756,35526668:199339,75748,0 x70,21:20969095,35526668 ) $70,21:21148496,35526668 ) (70,21:21148496,35526668:558141,75748,0 (70,21:21327897,35526668:199339,75748,0 x70,21:21527236,35526668 ) ) (70,21:21706637,35526668:558141,75748,0 (70,21:21886038,35526668:199339,75748,0 x70,21:22085377,35526668 ) ) (70,21:22264778,35526668:558141,75748,0 (70,21:22444179,35526668:199339,75748,0 x70,21:22643518,35526668 ) ) (70,21:22822919,35526668:558141,75748,0 (70,21:23002320,35526668:199339,75748,0 x70,21:23201659,35526668 ) ) (70,21:23381060,35526668:558141,75748,0 (70,21:23560461,35526668:199339,75748,0 x70,21:23759800,35526668 ) ) (70,21:23939201,35526668:558141,75748,0 (70,21:24118602,35526668:199339,75748,0 x70,21:24317941,35526668 ) ) (70,21:24497342,35526668:558141,75748,0 (70,21:24676743,35526668:199339,75748,0 x70,21:24876082,35526668 ) ) (70,21:25055483,35526668:558141,75748,0 (70,21:25234884,35526668:199339,75748,0 x70,21:25434223,35526668 ) ) (70,21:25613624,35526668:558141,75748,0 (70,21:25793025,35526668:199339,75748,0 x70,21:25992364,35526668 ) ) (70,21:26171765,35526668:558141,75748,0 (70,21:26351166,35526668:199339,75748,0 x70,21:26550505,35526668 ) ) (70,21:26729906,35526668:558141,75748,0 (70,21:26909307,35526668:199339,75748,0 x70,21:27108646,35526668 ) ) (70,21:27288047,35526668:558141,75748,0 (70,21:27467448,35526668:199339,75748,0 x70,21:27666787,35526668 ) ) (70,21:27846188,35526668:558141,75748,0 (70,21:28025589,35526668:199339,75748,0 x70,21:28224928,35526668 ) ) (70,21:28404329,35526668:558141,75748,0 (70,21:28583730,35526668:199339,75748,0 x70,21:28783069,35526668 ) ) (70,21:29117476,35526668:1112314,462465,0 k70,21:29870980,35526668:753504 x70,21:30229790,35526668 ) g70,21:28399855,35526668 g70,21:30229790,35526668 ) (70,22:6636830,36417958:23592960,498346,0 g70,22:11660176,36417958 h70,22:11660176,36417958:2726961,0,0 h70,22:14387137,36417958:0,0,0 g70,22:9363791,36417958 (70,22:9363791,36417958:2296385,462465,0 x70,22:10838899,36417958 k70,22:11660176,36417958:821277 ) x70,22:14165867,36417958 (70,22:14450804,36417958:558141,75748,0 $70,22:14450804,36417958 (70,22:14630205,36417958:199339,75748,0 x70,22:14829544,36417958 ) $70,22:15008945,36417958 ) (70,22:15008945,36417958:558141,75748,0 (70,22:15188346,36417958:199339,75748,0 x70,22:15387685,36417958 ) ) (70,22:15567086,36417958:558141,75748,0 (70,22:15746487,36417958:199339,75748,0 x70,22:15945826,36417958 ) ) (70,22:16125227,36417958:558141,75748,0 (70,22:16304628,36417958:199339,75748,0 x70,22:16503967,36417958 ) ) (70,22:16683368,36417958:558141,75748,0 (70,22:16862769,36417958:199339,75748,0 x70,22:17062108,36417958 ) ) (70,22:17241509,36417958:558141,75748,0 (70,22:17420910,36417958:199339,75748,0 x70,22:17620249,36417958 ) ) (70,22:17799650,36417958:558141,75748,0 (70,22:17979051,36417958:199339,75748,0 x70,22:18178390,36417958 ) ) (70,22:18357791,36417958:558141,75748,0 (70,22:18537192,36417958:199339,75748,0 x70,22:18736531,36417958 ) ) (70,22:18915932,36417958:558141,75748,0 (70,22:19095333,36417958:199339,75748,0 x70,22:19294672,36417958 ) ) (70,22:19474073,36417958:558141,75748,0 (70,22:19653474,36417958:199339,75748,0 x70,22:19852813,36417958 ) ) (70,22:20032214,36417958:558141,75748,0 (70,22:20211615,36417958:199339,75748,0 x70,22:20410954,36417958 ) ) (70,22:20590355,36417958:558141,75748,0 (70,22:20769756,36417958:199339,75748,0 x70,22:20969095,36417958 ) ) (70,22:21148496,36417958:558141,75748,0 (70,22:21327897,36417958:199339,75748,0 x70,22:21527236,36417958 ) ) (70,22:21706637,36417958:558141,75748,0 (70,22:21886038,36417958:199339,75748,0 x70,22:22085377,36417958 ) ) (70,22:22264778,36417958:558141,75748,0 (70,22:22444179,36417958:199339,75748,0 x70,22:22643518,36417958 ) ) (70,22:22822919,36417958:558141,75748,0 (70,22:23002320,36417958:199339,75748,0 x70,22:23201659,36417958 ) ) (70,22:23381060,36417958:558141,75748,0 (70,22:23560461,36417958:199339,75748,0 x70,22:23759800,36417958 ) ) (70,22:23939201,36417958:558141,75748,0 (70,22:24118602,36417958:199339,75748,0 x70,22:24317941,36417958 ) ) (70,22:24497342,36417958:558141,75748,0 (70,22:24676743,36417958:199339,75748,0 x70,22:24876082,36417958 ) ) (70,22:25055483,36417958:558141,75748,0 (70,22:25234884,36417958:199339,75748,0 x70,22:25434223,36417958 ) ) (70,22:25613624,36417958:558141,75748,0 (70,22:25793025,36417958:199339,75748,0 x70,22:25992364,36417958 ) ) (70,22:26171765,36417958:558141,75748,0 (70,22:26351166,36417958:199339,75748,0 x70,22:26550505,36417958 ) ) (70,22:26729906,36417958:558141,75748,0 (70,22:26909307,36417958:199339,75748,0 x70,22:27108646,36417958 ) ) (70,22:27288047,36417958:558141,75748,0 (70,22:27467448,36417958:199339,75748,0 x70,22:27666787,36417958 ) ) (70,22:27846188,36417958:558141,75748,0 (70,22:28025589,36417958:199339,75748,0 x70,22:28224928,36417958 ) ) (70,22:28404329,36417958:558141,75748,0 (70,22:28583730,36417958:199339,75748,0 x70,22:28783069,36417958 ) ) (70,22:29117476,36417958:1112314,462465,0 k70,22:29870980,36417958:753504 x70,22:30229790,36417958 ) g70,22:28399855,36417958 g70,22:30229790,36417958 ) ] (1,26:6636830,45679049:23592960,479268,0 (1,26:6636830,45679049:23592960,479268,0 k1,26:18134302,45679049:11497472 x1,26:18732319,45679049 k1,26:30229790,45679049:11497471 ) ) ] ] !56917 }3 !10 {4 [1,26:4736286,45679049:28835840,40942763,0 [1,26:9979166,45679049:23592960,39369899,0 [1,26:9979166,7095582:23592960,786432,0 (1,26:9979166,7095582:23592960,490372,0 (1,26:9979166,7095582:23592960,490372,0 x1,26:10557250,7095582 k1,26:29356112,7095582:18798862 x1,26:29904294,7095582 x1,26:31409302,7095582 x1,26:32415962,7095582 g1,26:32655168,7095582 x1,26:33572126,7095582 ) ) ] [1,26:9979166,43879237:0,35481206,0 h1,26:9979166,9118927:0,0,0 ] (1,26:9979166,45679049:23592960,0,0 h1,26:9979166,45679049:23592960,0,0 ) ] ] !533 }4 !8 {5 [1,53:4736286,45679049:25760436,40942763,0 [1,53:6636830,45679049:23859892,39369899,0 [1,53:6636830,7095582:23592960,786432,0 (1,53:6636830,7095582:23592960,0,0 h1,53:6636830,7095582:23592960,0,0 ) ] [1,53:6636830,43879237:23859892,35481206,0 (1,27:6636830,14116311:23592960,943899,0 h1,27:6636830,14116311:0,0,0 x1,27:9316721,14116311 g1,27:9826427,14116311 x1,27:10590986,14116311 k1,27:20410388,14116311:9819402 k1,27:30229790,14116311:9819402 ) (1,27:6636830,17475296:23592960,1132315,317047 h1,27:6636830,17475296:0,0,0 x1,27:11934942,17475296 g1,27:12546393,17475296 x1,27:15421534,17475296 x1,27:16338709,17475296 x1,27:17179453,17475296 x1,27:18453308,17475296 x1,27:19294052,17475296 g1,27:19905503,17475296 x1,27:24001660,17475296 x1,27:25988875,17475296 k1,27:28109333,17475296:2120458 k1,27:30229790,17475296:2120457 ) (1,29:6636830,21358568:23592960,825750,353898 g1,29:9055105,21358568 r1,29:9055105,21358568:0,1179648,353898 (1,29:9055105,21358568:0,825750,353898 k1,29:6636830,21358568:-2418275 (1,29:6636830,21358568:2418275,825750,353898 x1,29:7993423,21358568 g1,29:9055105,21358568 r1,29:9055105,21358568:0,1179648,353898 g1,29:9055105,21358568 ) ) x1,29:12121533,21358568 g1,29:12475427,21358568 x1,29:17087845,21358568 r1,29:17087845,21358568:0,1179648,353898 k1,29:30229790,21358568:13141945 g1,29:30229790,21358568 ) (1,31:6636830,23120174:23592960,490372,59802 $1,30:6636830,23120174 (1,30:6636830,23120174:1624613,490372,59802 (1,30:6636830,23120174:528248,490372,0 (1,30:6636830,23120174:528248,490372,0 x1,30:7165078,23120174 ) ) (1,30:7165078,23120174:558150,418611,59802 x1,30:7723228,23120174 ) (1,30:7723228,23120174:538215,490372,0 (1,30:7723228,23120174:538215,490372,0 x1,30:8261443,23120174 ) ) ) g1,30:8420911,23120174 x1,30:8979061,23120174 g1,30:9138529,23120174 h1,30:9138529,23120174:0,0,0 (1,30:9138529,23120174:1076430,490372,0 (1,30:9138529,23120174:1076430,490372,0 x1,30:10214959,23120174 ) ) (1,30:10214959,23120174:1549563,478561,0 h1,30:10214959,23120174:0,0,0 g1,30:10414294,23120174 (1,30:10414294,23120174:1150893,478561,0 [1,30:10414294,23120174:1150893,478561,0 (1,30:10414294,22713375:1150893,0,0 k1,30:10584508,22713375:170214 g1,30:11302129,22713375 k1,30:11565187,22713375:170214 ) (1,30:10414294,23120174:1150893,263276,0 $1,30:10414294,23120174 (1,30:10414294,23120174:558150,0,0 (1,30:10414294,23120174:558150,0,0 $1,30:10414294,23120174 (1,30:10414294,23120174:558150,418611,59802 x1,30:10972444,23120174 ) $1,30:10972444,23120174 ) ) (1,30:10710669,23120174:398682,0,0 $1,30:10710669,23120174 (1,30:10630935,23120174:558150,0,0 (1,30:10630935,23120174:558150,0,0 $1,30:10630935,23120174 (1,30:10630935,23120174:558150,418611,59802 x1,30:11189085,23120174 ) $1,30:11189085,23120174 ) ) $1,30:11109351,23120174 ) x1,30:11565187,23120174 $1,30:11565187,23120174 ) ] ) g1,30:11764522,23120174 h1,30:11764522,23120174:0,0,0 ) (1,30:11764522,23120174:1624613,490372,59802 (1,30:11764522,23120174:528248,490372,0 (1,30:11764522,23120174:528248,490372,0 x1,30:12292770,23120174 ) ) (1,30:12292770,23120174:558150,418611,59802 x1,30:12850920,23120174 ) (1,30:12850920,23120174:538215,490372,0 (1,30:12850920,23120174:538215,490372,0 x1,30:13389135,23120174 ) ) ) g1,30:13548603,23120174 x1,30:14106753,23120174 g1,30:14266221,23120174 h1,30:14266221,23120174:0,0,0 (1,30:14266221,23120174:1076430,490372,0 (1,30:14266221,23120174:1076430,490372,0 x1,30:15342651,23120174 ) ) $1,30:15342651,23120174 k1,31:30229790,23120174:14887139 g1,31:30229790,23120174 ) (1,31:6636830,25511491:23592960,642250,275254 g1,31:9340190,25511491 r1,31:9340190,25511491:0,917504,275254 (1,31:9340190,25511491:0,642250,275254 k1,31:6636830,25511491:-2703360 (1,31:6636830,25511491:2703360,642250,275254 x1,31:8455454,25511491 g1,31:9340190,25511491 r1,31:9340190,25511491:0,917504,275254 g1,31:9340190,25511491 ) ) x1,31:13183878,25511491 g1,31:13478790,25511491 x1,31:16220835,25511491 x1,31:17670819,25511491 r1,31:17670819,25511491:0,917504,275254 k1,31:30229790,25511491:12558971 g1,31:30229790,25511491 ) (1,32:6636830,27025917:23592960,498346,139537 x1,32:7862765,27025917 x1,32:9599007,27025917 g1,32:9838213,27025917 x1,32:10914643,27025917 x1,32:12130610,27025917 k1,32:30229790,27025917:18099180 g1,32:30229790,27025917 ) (1,36:6636830,28884656:23859892,623900,267389 g1,36:6636830,28884656 (1,36:6636830,28884656:7193139,623900,267389 k1,36:8921587,28884656:2284757 (1,36:8921587,28884656:0,623900,267389 [1,36:8921587,28884656:0,623900,267389 (1,21:8921587,28884656:0,623900,267389 r1,36:8921587,28884656:0,891289,267389 ) ] ) (1,36:8921587,28884656:4908382,498346,205512 $1,36:8921587,28884656 (1,36:8921587,28884656:4908382,498346,205512 h1,36:8921587,28884656:0,0,0 (1,36:8921587,28884656:4908382,498346,205512 (1,36:8921587,28884656:2775461,490372,205512 x1,36:9439868,28884656 [1,36:9439868,29090168:311300,507307,0 (1,36:9439868,28582861:32768,0,54616 h1,36:9439868,28582861:0,0,0 h1,36:9439868,28582861:0,0,54616 h1,36:9439868,28582861:0,0,0 ) (1,36:9439868,29090168:311300,337875,0 h1,36:9439868,29090168:0,0,0 x1,36:9718400,29090168 ) ] x1,36:10289383,28884656 [1,36:10289383,29090168:311300,507307,0 (1,36:10289383,28582861:32768,0,54616 h1,36:10289383,28582861:0,0,0 h1,36:10289383,28582861:0,0,54616 h1,36:10289383,28582861:0,0,0 ) (1,36:10289383,29090168:311300,337875,0 h1,36:10289383,29090168:0,0,0 x1,36:10567915,29090168 ) ] (1,36:10600683,28884656:1096365,490372,0 x1,36:11697048,28884656 ) ) g1,36:11856516,28884656 x1,36:12414666,28884656 g1,36:12574134,28884656 h1,36:12574134,28884656:0,0,0 (1,36:12574134,28884656:1255835,498346,0 x1,36:13829969,28884656 ) ) ) $1,36:13829969,28884656 ) ) g1,36:13829969,28884656 (1,36:13829969,28884656:16666753,623900,267389 (1,36:13829969,28884656:6231030,498346,205512 $1,36:13829969,28884656 (1,36:13829969,28884656:6231030,498346,205512 h1,36:13829969,28884656:0,0,0 (1,36:13829969,28884656:6231030,498346,205512 (1,36:13829969,28884656:1549563,478561,0 h1,36:13829969,28884656:0,0,0 g1,36:14029304,28884656 (1,36:14029304,28884656:1150893,478561,0 [1,36:14029304,28884656:1150893,478561,0 (1,36:14029304,28477857:1150893,0,0 k1,36:14199518,28477857:170214 g1,36:14917139,28477857 k1,36:15180197,28477857:170214 ) (1,36:14029304,28884656:1150893,263276,0 $1,36:14029304,28884656 (1,36:14029304,28884656:558150,0,0 (1,36:14029304,28884656:558150,0,0 $1,36:14029304,28884656 (1,36:14029304,28884656:558150,418611,59802 x1,36:14587454,28884656 ) $1,36:14587454,28884656 ) ) (1,36:14325679,28884656:398682,0,0 $1,36:14325679,28884656 (1,36:14245945,28884656:558150,0,0 (1,36:14245945,28884656:558150,0,0 $1,36:14245945,28884656 (1,36:14245945,28884656:558150,418611,59802 x1,36:14804095,28884656 ) $1,36:14804095,28884656 ) ) $1,36:14724361,28884656 ) x1,36:15180197,28884656 $1,36:15180197,28884656 ) ] ) g1,36:15379532,28884656 h1,36:15379532,28884656:0,0,0 ) (1,36:15379532,28884656:2396716,498346,205512 x1,36:15897813,28884656 [1,36:15897813,29090168:311300,507307,0 (1,36:15897813,28582861:32768,0,54616 h1,36:15897813,28582861:0,0,0 h1,36:15897813,28582861:0,0,54616 h1,36:15897813,28582861:0,0,0 ) (1,36:15897813,29090168:311300,337875,0 h1,36:15897813,29090168:0,0,0 x1,36:16176345,29090168 ) ] x1,36:16747328,28884656 [1,36:16747328,29090168:311300,507307,0 (1,36:16747328,28582861:32768,0,54616 h1,36:16747328,28582861:0,0,0 h1,36:16747328,28582861:0,0,54616 h1,36:16747328,28582861:0,0,0 ) (1,36:16747328,29090168:311300,337875,0 h1,36:16747328,29090168:0,0,0 x1,36:17025860,29090168 ) ] (1,36:17058628,28884656:717620,498346,0 x1,36:17776248,28884656 ) ) g1,36:17935716,28884656 x1,36:18493866,28884656 g1,36:18653334,28884656 h1,36:18653334,28884656:0,0,0 (1,36:18653334,28884656:1407665,490372,205512 x1,36:19191549,28884656 [1,36:19191549,29090168:311300,507307,0 (1,36:19191549,28582861:32768,0,54616 h1,36:19191549,28582861:0,0,0 h1,36:19191549,28582861:0,0,54616 h1,36:19191549,28582861:0,0,0 ) (1,36:19191549,29090168:311300,337875,0 h1,36:19191549,29090168:0,0,0 x1,36:19470081,29090168 ) ] x1,36:20060999,28884656 ) ) ) $1,36:20060999,28884656 ) k1,36:30496722,28884656:10435723 ) g1,36:31152082,28884656 (1,36:31152082,28884656:-655360,623900,267389 ) g1,36:30496722,28884656 ) (1,36:6636830,29972554:23859892,623900,267389 g1,36:6636830,29972554 (1,36:6636830,29972554:7193139,623900,267389 k1,36:7421896,29972554:785066 (1,36:7421896,29972554:0,623900,267389 [1,36:7421896,29972554:0,623900,267389 (1,21:7421896,29972554:0,623900,267389 r1,36:7421896,29972554:0,891289,267389 ) ] ) (1,36:7421896,29972554:6408073,498346,205512 $1,36:7421896,29972554 (1,36:7421896,29972554:6408073,498346,205512 h1,36:7421896,29972554:0,0,0 (1,36:7421896,29972554:6408073,498346,205512 (1,36:7421896,29972554:2396716,498346,205512 x1,36:7940177,29972554 [1,36:7940177,30178066:311300,507307,0 (1,36:7940177,29670759:32768,0,54616 h1,36:7940177,29670759:0,0,0 h1,36:7940177,29670759:0,0,54616 h1,36:7940177,29670759:0,0,0 ) (1,36:7940177,30178066:311300,337875,0 h1,36:7940177,30178066:0,0,0 x1,36:8218709,30178066 ) ] x1,36:8789692,29972554 [1,36:8789692,30178066:311300,507307,0 (1,36:8789692,29670759:32768,0,54616 h1,36:8789692,29670759:0,0,0 h1,36:8789692,29670759:0,0,54616 h1,36:8789692,29670759:0,0,0 ) (1,36:8789692,30178066:311300,337875,0 h1,36:8789692,30178066:0,0,0 x1,36:9068224,30178066 ) ] (1,36:9100992,29972554:717620,498346,0 x1,36:9818612,29972554 ) ) g1,36:9978080,29972554 x1,36:10536230,29972554 g1,36:10695698,29972554 h1,36:10695698,29972554:0,0,0 (1,36:10695698,29972554:3134271,490372,205512 x1,36:11213979,29972554 [1,36:11213979,30178066:311300,507307,0 (1,36:11213979,29670759:32768,0,54616 h1,36:11213979,29670759:0,0,0 h1,36:11213979,29670759:0,0,54616 h1,36:11213979,29670759:0,0,0 ) (1,36:11213979,30178066:311300,337875,0 h1,36:11213979,30178066:0,0,0 x1,36:11492511,30178066 ) ] x1,36:12063494,29972554 [1,36:12063494,30178066:311300,507307,0 (1,36:12063494,29670759:32768,0,54616 h1,36:12063494,29670759:0,0,0 h1,36:12063494,29670759:0,0,54616 h1,36:12063494,29670759:0,0,0 ) (1,36:12063494,30178066:311300,337875,0 h1,36:12063494,30178066:0,0,0 x1,36:12342026,30178066 ) ] (1,36:12374794,29972554:1455175,490372,0 x1,36:13829969,29972554 ) ) ) ) $1,36:13829969,29972554 ) ) g1,36:13829969,29972554 (1,36:13829969,29972554:16666753,623900,267389 (1,36:13829969,29972554:16666753,538214,205512 $1,36:13829969,29972554 (1,36:13829969,29972554:16666753,538214,205512 h1,36:13829969,29972554:0,0,0 (1,36:13829969,29972554:7957636,498346,205512 (1,36:13829969,29972554:1549563,478561,0 h1,36:13829969,29972554:0,0,0 g1,36:14029304,29972554 (1,36:14029304,29972554:1150893,478561,0 [1,36:14029304,29972554:1150893,478561,0 (1,36:14029304,29565755:1150893,0,0 k1,36:14199518,29565755:170214 g1,36:14917139,29565755 k1,36:15180197,29565755:170214 ) (1,36:14029304,29972554:1150893,263276,0 $1,36:14029304,29972554 (1,36:14029304,29972554:558150,0,0 (1,36:14029304,29972554:558150,0,0 $1,36:14029304,29972554 (1,36:14029304,29972554:558150,418611,59802 x1,36:14587454,29972554 ) $1,36:14587454,29972554 ) ) (1,36:14325679,29972554:398682,0,0 $1,36:14325679,29972554 (1,36:14245945,29972554:558150,0,0 (1,36:14245945,29972554:558150,0,0 $1,36:14245945,29972554 (1,36:14245945,29972554:558150,418611,59802 x1,36:14804095,29972554 ) $1,36:14804095,29972554 ) ) $1,36:14724361,29972554 ) x1,36:15180197,29972554 $1,36:15180197,29972554 ) ] ) g1,36:15379532,29972554 h1,36:15379532,29972554:0,0,0 ) (1,36:15379532,29972554:3916342,490372,205512 x1,36:15897813,29972554 [1,36:15897813,30178066:311300,507307,0 (1,36:15897813,29670759:32768,0,54616 h1,36:15897813,29670759:0,0,0 h1,36:15897813,29670759:0,0,54616 h1,36:15897813,29670759:0,0,0 ) (1,36:15897813,30178066:311300,337875,0 h1,36:15897813,30178066:0,0,0 x1,36:16176345,30178066 ) ] x1,36:16747328,29972554 [1,36:16747328,30178066:311300,507307,0 (1,36:16747328,29670759:32768,0,54616 h1,36:16747328,29670759:0,0,0 h1,36:16747328,29670759:0,0,54616 h1,36:16747328,29670759:0,0,0 ) (1,36:16747328,30178066:311300,337875,0 h1,36:16747328,30178066:0,0,0 x1,36:17025860,30178066 ) ] (1,36:17058628,29972554:1076431,490372,0 x1,36:18135059,29972554 ) [1,36:18135059,30178066:311300,507307,0 (1,36:18135059,29670759:32768,0,54616 h1,36:18135059,29670759:0,0,0 h1,36:18135059,29670759:0,0,54616 h1,36:18135059,29670759:0,0,0 ) (1,36:18135059,30178066:311300,337875,0 h1,36:18135059,30178066:0,0,0 x1,36:18413591,30178066 ) ] x1,36:18984574,29972554 [1,36:18984574,30178066:311300,507307,0 (1,36:18984574,29670759:32768,0,54616 h1,36:18984574,29670759:0,0,0 h1,36:18984574,29670759:0,0,54616 h1,36:18984574,29670759:0,0,0 ) (1,36:18984574,30178066:311300,337875,0 h1,36:18984574,30178066:0,0,0 x1,36:19263106,30178066 ) ] ) g1,36:19455342,29972554 x1,36:20013492,29972554 g1,36:20172960,29972554 h1,36:20172960,29972554:0,0,0 (1,36:20172960,29972554:1614645,498346,0 x1,36:21787605,29972554 ) ) g1,36:22505226,29972554 (1,36:22505226,29972554:7991496,538214,179404 (1,36:22505226,29972554:7991496,538214,179404 x1,36:23665379,29972554 x1,36:25008922,29972554 g1,36:25248128,29972554 x1,36:26446155,29972554 g1,36:26685361,29972554 x1,36:30496722,29972554 ) ) ) $1,36:30496722,29972554 ) g1,36:30496722,29972554 ) g1,36:31152082,29972554 (1,36:31152082,29972554:-655360,623900,267389 ) g1,36:30496722,29972554 ) (1,36:6636830,31060452:23859892,623900,267389 g1,36:6636830,31060452 (1,36:6636830,31060452:7193139,623900,267389 g1,36:6636830,31060452 (1,36:6636830,31060452:0,623900,267389 [1,36:6636830,31060452:0,623900,267389 (1,21:6636830,31060452:0,623900,267389 r1,36:6636830,31060452:0,891289,267389 ) ] ) (1,36:6636830,31060452:7193139,490372,205512 $1,36:6636830,31060452 (1,36:6636830,31060452:7193139,490372,205512 h1,36:6636830,31060452:0,0,0 (1,36:6636830,31060452:7193139,490372,205512 (1,36:6636830,31060452:3540592,490372,205512 (1,36:6636830,31060452:1056496,490372,0 x1,36:7693326,31060452 ) [1,36:7693326,31265964:311300,507307,0 (1,36:7693326,30758657:32768,0,54616 h1,36:7693326,30758657:0,0,0 h1,36:7693326,30758657:0,0,54616 h1,36:7693326,30758657:0,0,0 ) (1,36:7693326,31265964:311300,337875,0 h1,36:7693326,31265964:0,0,0 x1,36:7971858,31265964 ) ] (1,36:8004626,31060452:2172796,490372,0 x1,36:10177422,31060452 ) ) g1,36:10336890,31060452 x1,36:10895040,31060452 g1,36:11054508,31060452 h1,36:11054508,31060452:0,0,0 (1,36:11054508,31060452:2775461,490372,205512 x1,36:11572789,31060452 [1,36:11572789,31265964:311300,507307,0 (1,36:11572789,30758657:32768,0,54616 h1,36:11572789,30758657:0,0,0 h1,36:11572789,30758657:0,0,54616 h1,36:11572789,30758657:0,0,0 ) (1,36:11572789,31265964:311300,337875,0 h1,36:11572789,31265964:0,0,0 x1,36:11851321,31265964 ) ] x1,36:12422304,31060452 [1,36:12422304,31265964:311300,507307,0 (1,36:12422304,30758657:32768,0,54616 h1,36:12422304,30758657:0,0,0 h1,36:12422304,30758657:0,0,54616 h1,36:12422304,30758657:0,0,0 ) (1,36:12422304,31265964:311300,337875,0 h1,36:12422304,31265964:0,0,0 x1,36:12700836,31265964 ) ] (1,36:12733604,31060452:1096365,490372,0 x1,36:13829969,31060452 ) ) ) ) $1,36:13829969,31060452 ) ) g1,36:13829969,31060452 (1,36:13829969,31060452:16666753,623900,267389 (1,36:13829969,31060452:13050750,538214,205512 $1,36:13829969,31060452 (1,36:13829969,31060452:13050750,538214,205512 h1,36:13829969,31060452:0,0,0 (1,36:13829969,31060452:8515787,490372,205512 (1,36:13829969,31060452:1549563,478561,0 h1,36:13829969,31060452:0,0,0 g1,36:14029304,31060452 (1,36:14029304,31060452:1150893,478561,0 [1,36:14029304,31060452:1150893,478561,0 (1,36:14029304,30653653:1150893,0,0 k1,36:14199518,30653653:170214 g1,36:14917139,30653653 k1,36:15180197,30653653:170214 ) (1,36:14029304,31060452:1150893,263276,0 $1,36:14029304,31060452 (1,36:14029304,31060452:558150,0,0 (1,36:14029304,31060452:558150,0,0 $1,36:14029304,31060452 (1,36:14029304,31060452:558150,418611,59802 x1,36:14587454,31060452 ) $1,36:14587454,31060452 ) ) (1,36:14325679,31060452:398682,0,0 $1,36:14325679,31060452 (1,36:14245945,31060452:558150,0,0 (1,36:14245945,31060452:558150,0,0 $1,36:14245945,31060452 (1,36:14245945,31060452:558150,418611,59802 x1,36:14804095,31060452 ) $1,36:14804095,31060452 ) ) $1,36:14724361,31060452 ) x1,36:15180197,31060452 $1,36:15180197,31060452 ) ] ) g1,36:15379532,31060452 h1,36:15379532,31060452:0,0,0 ) (1,36:15379532,31060452:4681473,490372,205512 (1,36:15379532,31060452:1056496,490372,0 x1,36:16436028,31060452 ) [1,36:16436028,31265964:311300,507307,0 (1,36:16436028,30758657:32768,0,54616 h1,36:16436028,30758657:0,0,0 h1,36:16436028,30758657:0,0,54616 h1,36:16436028,30758657:0,0,0 ) (1,36:16436028,31265964:311300,337875,0 h1,36:16436028,31265964:0,0,0 x1,36:16714560,31265964 ) ] (1,36:16747328,31060452:2152862,490372,0 x1,36:18900190,31060452 ) [1,36:18900190,31265964:311300,507307,0 (1,36:18900190,30758657:32768,0,54616 h1,36:18900190,30758657:0,0,0 h1,36:18900190,30758657:0,0,54616 h1,36:18900190,30758657:0,0,0 ) (1,36:18900190,31265964:311300,337875,0 h1,36:18900190,31265964:0,0,0 x1,36:19178722,31265964 ) ] x1,36:19749705,31060452 [1,36:19749705,31265964:311300,507307,0 (1,36:19749705,30758657:32768,0,54616 h1,36:19749705,30758657:0,0,0 h1,36:19749705,30758657:0,0,54616 h1,36:19749705,30758657:0,0,0 ) (1,36:19749705,31265964:311300,337875,0 h1,36:19749705,31265964:0,0,0 x1,36:20028237,31265964 ) ] ) g1,36:20220473,31060452 x1,36:20778623,31060452 g1,36:20938091,31060452 h1,36:20938091,31060452:0,0,0 (1,36:20938091,31060452:1407665,490372,205512 x1,36:21476306,31060452 [1,36:21476306,31265964:311300,507307,0 (1,36:21476306,30758657:32768,0,54616 h1,36:21476306,30758657:0,0,0 h1,36:21476306,30758657:0,0,54616 h1,36:21476306,30758657:0,0,0 ) (1,36:21476306,31265964:311300,337875,0 h1,36:21476306,31265964:0,0,0 x1,36:21754838,31265964 ) ] x1,36:22345756,31060452 ) ) g1,36:23063377,31060452 (1,36:23063377,31060452:3817342,538214,179404 (1,36:23063377,31060452:3817342,538214,179404 x1,36:25022879,31060452 x1,36:25800302,31060452 x1,36:26880719,31060452 ) ) ) $1,36:26880719,31060452 ) k1,36:30496722,31060452:3616003 ) g1,36:31152082,31060452 (1,36:31152082,31060452:-655360,623900,267389 ) g1,36:30496722,31060452 ) [1,36:6636830,31060452:23592960,623900,267389 (1,36:6636830,31060452:23592960,623900,267389 (1,36:6636830,31060452:23859892,623900,267389 (1,36:6636830,31060452:0,623900,267389 [1,36:6636830,31060452:0,623900,267389 (1,21:6636830,31060452:0,623900,267389 r1,36:6636830,31060452:0,891289,267389 ) ] ) k1,36:30496722,31060452:23859892 ) g1,36:30496722,31060452 g1,36:30496722,31060452 ) ] (1,39:6636830,33001376:23592960,538214,179404 h1,38:6636830,33001376:1114112,0,0 x1,38:10918439,33001376 x1,38:11636059,33001376 k1,38:11856849,33001376:220790 x1,38:13152553,33001376 x1,38:15269532,33001376 k1,38:15490321,33001376:220789 x1,38:17708964,33001376 x1,38:18067774,33001376 x1,38:18605988,33001376 k1,38:18830461,33001376:224473 x1,38:19807223,33001376 x1,38:21063057,33001376 k1,38:21283847,33001376:220790 x1,38:23103812,33001376 k1,38:23324601,33001376:220789 x1,38:24620304,33001376 k1,38:24841094,33001376:220790 x1,38:26477667,33001376 k1,38:26698457,33001376:220790 x1,38:27336342,33001376 k1,38:27557131,33001376:220789 x1,38:28713297,33001376 k1,38:28934087,33001376:220790 x1,38:30229790,33001376 k1,38:30229790,33001376:0 ) (1,39:6636830,33892666:23592960,538214,179404 x1,39:8273403,33892666 g1,38:8512609,33892666 x1,38:9349833,33892666 k1,39:30229790,33892666:20879957 g1,39:30229790,33892666 ) (1,40:6636830,36283983:23592960,642250,275254 g1,40:9340190,36283983 r1,40:9340190,36283983:0,917504,275254 (1,40:9340190,36283983:0,642250,275254 k1,40:6636830,36283983:-2703360 (1,40:6636830,36283983:2703360,642250,275254 x1,40:8455454,36283983 g1,40:9340190,36283983 r1,40:9340190,36283983:0,917504,275254 g1,40:9340190,36283983 ) ) x1,40:13183878,36283983 g1,40:13478790,36283983 x1,40:16323690,36283983 x1,40:17773674,36283983 r1,40:17773674,36283983:0,917504,275254 k1,40:30229790,36283983:12456116 g1,40:30229790,36283983 ) (1,41:6636830,37871809:23592960,623900,267389 r1,41:6636830,37871809:0,891289,267389 x1,41:10530985,37871809 r1,41:10530985,37871809:0,891289,267389 k1,41:30229790,37871809:19698805 g1,41:30229790,37871809 ) (1,44:6636830,39773921:23592960,946051,205512 $1,42:6636830,39773921 (1,42:6636830,39773921:1679096,490372,205512 (1,42:6636830,39773921:518281,490372,0 (1,42:6636830,39773921:518281,490372,0 x1,42:7155111,39773921 ) ) [1,42:7155111,39979433:311300,507307,0 (1,42:7155111,39472126:32768,0,54616 h1,42:7155111,39472126:0,0,0 h1,42:7155111,39472126:0,0,54616 (1,42:7155111,39472126:0,0,0 h1,42:7155111,39472126:0,0,0 ) ) (1,42:7155111,39979433:311300,337875,0 h1,42:7155111,39979433:0,0,0 (1,42:7155111,39979433:278532,337875,0 (1,42:7155111,39979433:278532,337875,0 x1,42:7433643,39979433 ) ) ) ] (1,42:7466411,39773921:538215,490372,0 (1,42:7466411,39773921:538215,490372,0 x1,42:8004626,39773921 ) ) [1,42:8004626,39979433:311300,507307,0 (1,42:8004626,39472126:32768,0,54616 h1,42:8004626,39472126:0,0,0 h1,42:8004626,39472126:0,0,54616 (1,42:8004626,39472126:0,0,0 h1,42:8004626,39472126:0,0,0 ) ) (1,42:8004626,39979433:311300,337875,0 h1,42:8004626,39979433:0,0,0 (1,42:8004626,39979433:278532,337875,0 (1,42:8004626,39979433:278532,337875,0 x1,42:8283158,39979433 ) ) ) ] ) g1,42:8475394,39773921 x1,42:9033544,39773921 g1,42:9193012,39773921 h1,42:9193012,39773921:0,0,0 (1,42:9193012,39773921:1028920,498346,205512 (1,42:9193012,39773921:717620,498346,0 (1,42:9193012,39773921:717620,498346,0 x1,42:9910632,39773921 ) ) [1,42:9910632,39979433:311300,507307,0 (1,42:9910632,39472126:32768,0,54616 h1,42:9910632,39472126:0,0,0 h1,42:9910632,39472126:0,0,54616 (1,42:9910632,39472126:0,0,0 h1,42:9910632,39472126:0,0,0 ) ) (1,42:9910632,39979433:311300,337875,0 h1,42:9910632,39979433:0,0,0 (1,42:9910632,39979433:278532,337875,0 (1,42:9910632,39979433:278532,337875,0 x1,42:10189164,39979433 ) ) ) ] ) (1,42:10221932,39773921:2234061,946051,0 h1,42:10221932,39773921:0,0,0 g1,42:10421267,39773921 (1,42:10421267,39773921:1835391,946051,0 [1,42:10421267,39773921:1835391,946051,0 (1,42:10421267,39263721:1835391,364089,167189 k1,42:10591481,39263721:170214 (1,42:10591481,39263721:1402119,364089,167189 (1,42:10591481,39263721:1129056,364089,0 (1,42:10591481,39263721:1129056,364089,0 x1,42:11720537,39263721 ) ) [1,42:11720537,39430910:273063,402389,0 (1,42:11720537,39028521:32768,0,54612 h1,42:11720537,39028521:0,0,0 h1,42:11720537,39028521:0,0,54612 (1,42:11720537,39028521:0,0,0 h1,42:11720537,39028521:0,0,0 ) ) (1,42:11720537,39430910:273063,253405,0 h1,42:11720537,39430910:0,0,0 (1,42:11720537,39430910:240295,253405,0 (1,42:11720537,39430910:240295,253405,0 x1,42:11960832,39430910 ) ) ) ] ) k1,42:12256658,39263721:170214 ) (1,42:10421267,39773921:1835391,263276,0 $1,42:10421267,39773921 (1,42:10421267,39773921:558150,0,0 (1,42:10421267,39773921:558150,0,0 $1,42:10421267,39773921 (1,42:10421267,39773921:558150,418611,59802 x1,42:10979417,39773921 ) $1,42:10979417,39773921 ) ) (1,42:10860550,39773921:398682,0,0 $1,42:10860550,39773921 (1,42:10780816,39773921:558150,0,0 (1,42:10780816,39773921:558150,0,0 $1,42:10780816,39773921 (1,42:10780816,39773921:558150,418611,59802 x1,42:11338966,39773921 ) $1,42:11338966,39773921 ) ) $1,42:11259232,39773921 ) (1,42:11259232,39773921:398682,0,0 (1,42:11179498,39773921:558150,0,0 (1,42:11179498,39773921:558150,0,0 (1,42:11179498,39773921:558150,418611,59802 x1,42:11737648,39773921 ) ) ) ) x1,42:12256658,39773921 $1,42:12256658,39773921 ) ] ) g1,42:12455993,39773921 h1,42:12455993,39773921:0,0,0 h1,42:12455993,39773921:0,0,0 ) (1,42:12455993,39773921:2396716,498346,205512 (1,42:12455993,39773921:518281,490372,0 (1,42:12455993,39773921:518281,490372,0 x1,42:12974274,39773921 ) ) [1,42:12974274,39979433:311300,507307,0 (1,42:12974274,39472126:32768,0,54616 h1,42:12974274,39472126:0,0,0 h1,42:12974274,39472126:0,0,54616 (1,42:12974274,39472126:0,0,0 h1,42:12974274,39472126:0,0,0 ) ) (1,42:12974274,39979433:311300,337875,0 h1,42:12974274,39979433:0,0,0 (1,42:12974274,39979433:278532,337875,0 (1,42:12974274,39979433:278532,337875,0 x1,42:13252806,39979433 ) ) ) ] (1,42:13285574,39773921:538215,490372,0 (1,42:13285574,39773921:538215,490372,0 x1,42:13823789,39773921 ) ) [1,42:13823789,39979433:311300,507307,0 (1,42:13823789,39472126:32768,0,54616 h1,42:13823789,39472126:0,0,0 h1,42:13823789,39472126:0,0,54616 (1,42:13823789,39472126:0,0,0 h1,42:13823789,39472126:0,0,0 ) ) (1,42:13823789,39979433:311300,337875,0 h1,42:13823789,39979433:0,0,0 (1,42:13823789,39979433:278532,337875,0 (1,42:13823789,39979433:278532,337875,0 x1,42:14102321,39979433 ) ) ) ] (1,42:14135089,39773921:717620,498346,0 (1,42:14135089,39773921:717620,498346,0 x1,42:14852709,39773921 ) ) ) g1,42:15012177,39773921 x1,42:15570327,39773921 g1,42:15729795,39773921 h1,42:15729795,39773921:0,0,0 (1,42:15729795,39773921:1255835,498346,0 (1,42:15729795,39773921:1255835,498346,0 x1,42:16985630,39773921 ) ) $1,42:16985630,39773921 k1,43:30229790,39773921:13244160 g1,44:30229790,39773921 ) (1,44:6636830,40665211:23592960,498346,139537 x1,44:7992336,40665211 x1,43:10109315,40665211 g1,43:10348521,40665211 x1,43:12567164,40665211 x1,43:12925974,40665211 x1,43:13464188,40665211 k1,44:30229790,40665211:16765602 g1,44:30229790,40665211 ) (1,47:6636830,42523950:16580211,623900,267389 g1,47:13649579,42523950 (1,47:13649579,42523950:3739842,623900,267389 k1,47:13716941,42523950:67362 (1,47:13716941,42523950:0,623900,267389 [1,47:13716941,42523950:0,623900,267389 (1,21:13716941,42523950:0,623900,267389 r1,47:13716941,42523950:0,891289,267389 ) ] ) (1,47:13716941,42523950:3672480,498346,205512 $1,47:13716941,42523950 (1,47:13716941,42523950:3672480,498346,205512 h1,47:13716941,42523950:0,0,0 (1,47:13716941,42523950:3672480,498346,205512 (1,47:13716941,42523950:1028920,498346,205512 (1,47:13716941,42523950:717620,498346,0 x1,47:14434561,42523950 ) [1,47:14434561,42729462:311300,507307,0 (1,47:14434561,42222155:32768,0,54616 h1,47:14434561,42222155:0,0,0 h1,47:14434561,42222155:0,0,54616 h1,47:14434561,42222155:0,0,0 ) (1,47:14434561,42729462:311300,337875,0 h1,47:14434561,42729462:0,0,0 x1,47:14713093,42729462 ) ] ) g1,47:14905329,42523950 x1,47:15463479,42523950 g1,47:15622947,42523950 h1,47:15622947,42523950:0,0,0 (1,47:15622947,42523950:1766474,498346,205512 (1,47:15622947,42523950:1455174,498346,0 x1,47:17078121,42523950 ) [1,47:17078121,42729462:311300,507307,0 (1,47:17078121,42222155:32768,0,54616 h1,47:17078121,42222155:0,0,0 h1,47:17078121,42222155:0,0,54616 h1,47:17078121,42222155:0,0,0 ) (1,47:17078121,42729462:311300,337875,0 h1,47:17078121,42729462:0,0,0 x1,47:17356653,42729462 ) ] ) ) ) $1,47:17389421,42523950 ) ) g1,47:17389421,42523950 (1,47:17389421,42523950:5827620,623900,267389 (1,47:17389421,42523950:5531523,618555,205512 $1,47:17389421,42523950 (1,47:17389421,42523950:5531523,618555,205512 h1,47:17389421,42523950:0,0,0 (1,47:17389421,42523950:5531523,618555,205512 (1,47:17389421,42523950:1549563,478561,0 h1,47:17389421,42523950:0,0,0 g1,47:17588756,42523950 (1,47:17588756,42523950:1150893,478561,0 [1,47:17588756,42523950:1150893,478561,0 (1,47:17588756,42117151:1150893,0,0 k1,47:17758970,42117151:170214 g1,47:18476591,42117151 k1,47:18739649,42117151:170214 ) (1,47:17588756,42523950:1150893,263276,0 $1,47:17588756,42523950 (1,47:17588756,42523950:558150,0,0 (1,47:17588756,42523950:558150,0,0 $1,47:17588756,42523950 (1,47:17588756,42523950:558150,418611,59802 x1,47:18146906,42523950 ) $1,47:18146906,42523950 ) ) (1,47:17885131,42523950:398682,0,0 $1,47:17885131,42523950 (1,47:17805397,42523950:558150,0,0 (1,47:17805397,42523950:558150,0,0 $1,47:17805397,42523950 (1,47:17805397,42523950:558150,418611,59802 x1,47:18363547,42523950 ) $1,47:18363547,42523950 ) ) $1,47:18283813,42523950 ) x1,47:18739649,42523950 $1,47:18739649,42523950 ) ] ) g1,47:18938984,42523950 h1,47:18938984,42523950:0,0,0 ) (1,47:18938984,42523950:1921214,618555,205512 (1,47:18938984,42523950:1455174,498346,0 x1,47:20394158,42523950 ) [1,47:20394158,42729462:466040,824067,0 (1,47:20394158,42222155:466040,316760,54616 h1,47:20394158,42222155:0,0,0 h1,47:20394158,42222155:0,0,54616 (1,47:20394158,42222155:433272,316760,54616 x1,47:20827430,42222155 ) ) (1,47:20394158,42729462:311300,337875,0 h1,47:20394158,42729462:0,0,0 x1,47:20672690,42729462 ) ] ) g1,47:21019666,42523950 x1,47:21577816,42523950 g1,47:21737284,42523950 h1,47:21737284,42523950:0,0,0 (1,47:21737284,42523950:1183660,613059,177407 (1,47:21737284,42523950:717620,498346,0 x1,47:22454904,42523950 ) [1,47:22454904,42701357:466040,790466,0 (1,47:22454904,42227651:466040,316760,54616 h1,47:22454904,42227651:0,0,0 h1,47:22454904,42227651:0,0,54616 (1,47:22454904,42227651:433272,316760,54616 x1,47:22888176,42227651 ) ) (1,47:22454904,42701357:32768,0,0 h1,47:22454904,42701357:0,0,0 h1,47:22454904,42701357:0,0,0 ) ] ) ) ) $1,47:22920944,42523950 ) k1,47:23217041,42523950:296097 ) g1,47:30229790,42523950 (1,47:30229790,42523950:-7012749,623900,267389 ) g1,47:23217041,42523950 ) (1,47:6636830,43611848:16580211,623900,267389 g1,47:13649579,43611848 (1,47:13649579,43611848:3739842,623900,267389 g1,47:13649579,43611848 (1,47:13649579,43611848:0,623900,267389 [1,47:13649579,43611848:0,623900,267389 (1,21:13649579,43611848:0,623900,267389 r1,47:13649579,43611848:0,891289,267389 ) ] ) (1,47:13649579,43611848:3739842,613059,205512 $1,47:13649579,43611848 (1,47:13649579,43611848:3739842,613059,205512 h1,47:13649579,43611848:0,0,0 (1,47:13649579,43611848:3739842,613059,205512 (1,47:13649579,43611848:1183660,613059,177407 (1,47:13649579,43611848:717620,498346,0 x1,47:14367199,43611848 ) [1,47:14367199,43789255:466040,790466,0 (1,47:14367199,43315549:466040,316760,54616 h1,47:14367199,43315549:0,0,0 h1,47:14367199,43315549:0,0,54616 (1,47:14367199,43315549:433272,316760,54616 x1,47:14800471,43315549 ) ) (1,47:14367199,43789255:32768,0,0 h1,47:14367199,43789255:0,0,0 h1,47:14367199,43789255:0,0,0 ) ] ) g1,47:14992707,43611848 x1,47:15550857,43611848 g1,47:15710325,43611848 h1,47:15710325,43611848:0,0,0 (1,47:15710325,43611848:1679096,490372,205512 x1,47:16228606,43611848 [1,47:16228606,43817360:311300,507307,0 (1,47:16228606,43310053:32768,0,54616 h1,47:16228606,43310053:0,0,0 h1,47:16228606,43310053:0,0,54616 h1,47:16228606,43310053:0,0,0 ) (1,47:16228606,43817360:311300,337875,0 h1,47:16228606,43817360:0,0,0 x1,47:16507138,43817360 ) ] x1,47:17078121,43611848 [1,47:17078121,43817360:311300,507307,0 (1,47:17078121,43310053:32768,0,54616 h1,47:17078121,43310053:0,0,0 h1,47:17078121,43310053:0,0,54616 h1,47:17078121,43310053:0,0,0 ) (1,47:17078121,43817360:311300,337875,0 h1,47:17078121,43817360:0,0,0 x1,47:17356653,43817360 ) ] ) ) ) $1,47:17389421,43611848 ) ) g1,47:17389421,43611848 (1,47:17389421,43611848:5827620,623900,267389 (1,47:17389421,43611848:5827620,613059,205512 $1,47:17389421,43611848 (1,47:17389421,43611848:5827620,613059,205512 h1,47:17389421,43611848:0,0,0 (1,47:17389421,43611848:5827620,613059,205512 (1,47:17389421,43611848:1549563,478561,0 h1,47:17389421,43611848:0,0,0 g1,47:17588756,43611848 (1,47:17588756,43611848:1150893,478561,0 [1,47:17588756,43611848:1150893,478561,0 (1,47:17588756,43205049:1150893,0,0 k1,47:17758970,43205049:170214 g1,47:18476591,43205049 k1,47:18739649,43205049:170214 ) (1,47:17588756,43611848:1150893,263276,0 $1,47:17588756,43611848 (1,47:17588756,43611848:558150,0,0 (1,47:17588756,43611848:558150,0,0 $1,47:17588756,43611848 (1,47:17588756,43611848:558150,418611,59802 x1,47:18146906,43611848 ) $1,47:18146906,43611848 ) ) (1,47:17885131,43611848:398682,0,0 $1,47:17885131,43611848 (1,47:17805397,43611848:558150,0,0 (1,47:17805397,43611848:558150,0,0 $1,47:17805397,43611848 (1,47:17805397,43611848:558150,418611,59802 x1,47:18363547,43611848 ) $1,47:18363547,43611848 ) ) $1,47:18283813,43611848 ) x1,47:18739649,43611848 $1,47:18739649,43611848 ) ] ) g1,47:18938984,43611848 h1,47:18938984,43611848:0,0,0 ) (1,47:18938984,43611848:2396716,498346,205512 x1,47:19457265,43611848 [1,47:19457265,43817360:311300,507307,0 (1,47:19457265,43310053:32768,0,54616 h1,47:19457265,43310053:0,0,0 h1,47:19457265,43310053:0,0,54616 h1,47:19457265,43310053:0,0,0 ) (1,47:19457265,43817360:311300,337875,0 h1,47:19457265,43817360:0,0,0 x1,47:19735797,43817360 ) ] x1,47:20306780,43611848 [1,47:20306780,43817360:311300,507307,0 (1,47:20306780,43310053:32768,0,54616 h1,47:20306780,43310053:0,0,0 h1,47:20306780,43310053:0,0,54616 h1,47:20306780,43310053:0,0,0 ) (1,47:20306780,43817360:311300,337875,0 h1,47:20306780,43817360:0,0,0 x1,47:20585312,43817360 ) ] (1,47:20618080,43611848:717620,498346,0 x1,47:21335700,43611848 ) ) g1,47:21495168,43611848 x1,47:22053318,43611848 g1,47:22212786,43611848 h1,47:22212786,43611848:0,0,0 (1,47:22212786,43611848:1004255,613059,177407 x1,47:22751001,43611848 [1,47:22751001,43789255:466040,790466,0 (1,47:22751001,43315549:466040,316760,54616 h1,47:22751001,43315549:0,0,0 h1,47:22751001,43315549:0,0,54616 (1,47:22751001,43315549:433272,316760,54616 x1,47:23184273,43315549 ) ) (1,47:22751001,43789255:32768,0,0 h1,47:22751001,43789255:0,0,0 h1,47:22751001,43789255:0,0,0 ) ] ) ) ) $1,47:23217041,43611848 ) g1,47:23217041,43611848 ) g1,47:30229790,43611848 (1,47:30229790,43611848:-7012749,623900,267389 ) g1,47:23217041,43611848 ) ] (1,53:6636830,45679049:23592960,462465,0 (1,53:6636830,45679049:23592960,462465,0 k1,53:18253905,45679049:11617075 x1,53:18612715,45679049 k1,53:30229790,45679049:11617075 ) ) ] ] !33453 }5 !10 {6 [1,88:4736286,45679049:30050495,40942763,0 [1,88:9979166,45679049:24807615,39369899,0 [1,88:9979166,7095582:23592960,786432,0 (1,88:9979166,7095582:23592960,490372,0 (1,88:9979166,7095582:23592960,490372,0 x1,88:10337976,7095582 k1,88:20625066,7095582:10287090 x1,88:22179911,7095582 g1,88:22419117,7095582 x1,88:22977266,7095582 g1,88:23296207,7095582 g1,88:23535413,7095582 x1,88:26306224,7095582 g1,88:26545430,7095582 x1,88:28508918,7095582 x1,88:28987330,7095582 x1,88:29645148,7095582 x1,88:30103627,7095582 g1,88:30342833,7095582 x1,88:33572126,7095582 ) ) ] [1,88:9979166,43879237:24807615,35481206,0 (1,49:9979166,9118927:23592960,623900,267389 r1,49:9979166,9118927:0,891289,267389 x1,49:12911518,9118927 g1,49:13186603,9118927 x1,49:15575263,9118927 g1,49:15850348,9118927 x1,49:16369622,9118927 x1,49:18589244,9118927 x1,49:20824913,9118927 r1,49:20824913,9118927:0,891289,267389 k1,49:33572126,9118927:12747213 g1,49:33572126,9118927 ) (1,52:9979166,10861364:23592960,946051,205512 $1,50:9979166,10861364 (1,50:9979166,10861364:1679096,490372,205512 (1,50:9979166,10861364:518281,490372,0 (1,50:9979166,10861364:518281,490372,0 x1,50:10497447,10861364 ) ) [1,50:10497447,11066876:311300,507307,0 (1,50:10497447,10559569:32768,0,54616 h1,50:10497447,10559569:0,0,0 h1,50:10497447,10559569:0,0,54616 (1,50:10497447,10559569:0,0,0 h1,50:10497447,10559569:0,0,0 ) ) (1,50:10497447,11066876:311300,337875,0 h1,50:10497447,11066876:0,0,0 (1,50:10497447,11066876:278532,337875,0 (1,50:10497447,11066876:278532,337875,0 x1,50:10775979,11066876 ) ) ) ] (1,50:10808747,10861364:538215,490372,0 (1,50:10808747,10861364:538215,490372,0 x1,50:11346962,10861364 ) ) [1,50:11346962,11066876:311300,507307,0 (1,50:11346962,10559569:32768,0,54616 h1,50:11346962,10559569:0,0,0 h1,50:11346962,10559569:0,0,54616 (1,50:11346962,10559569:0,0,0 h1,50:11346962,10559569:0,0,0 ) ) (1,50:11346962,11066876:311300,337875,0 h1,50:11346962,11066876:0,0,0 (1,50:11346962,11066876:278532,337875,0 (1,50:11346962,11066876:278532,337875,0 x1,50:11625494,11066876 ) ) ) ] ) g1,50:11817730,10861364 x1,50:12375880,10861364 g1,50:12535348,10861364 h1,50:12535348,10861364:0,0,0 (1,50:12535348,10861364:2085416,498346,205512 (1,50:12535348,10861364:1056496,490372,0 (1,50:12535348,10861364:1056496,490372,0 x1,50:13591844,10861364 ) ) [1,50:13591844,11066876:311300,507307,0 (1,50:13591844,10559569:32768,0,54616 h1,50:13591844,10559569:0,0,0 h1,50:13591844,10559569:0,0,54616 (1,50:13591844,10559569:0,0,0 h1,50:13591844,10559569:0,0,0 ) ) (1,50:13591844,11066876:311300,337875,0 h1,50:13591844,11066876:0,0,0 (1,50:13591844,11066876:278532,337875,0 (1,50:13591844,11066876:278532,337875,0 x1,50:13870376,11066876 ) ) ) ] (1,50:13903144,10861364:717620,498346,0 (1,50:13903144,10861364:717620,498346,0 x1,50:14620764,10861364 ) ) ) (1,50:14620764,10861364:2234061,946051,0 h1,50:14620764,10861364:0,0,0 g1,50:14820099,10861364 (1,50:14820099,10861364:1835391,946051,0 [1,50:14820099,10861364:1835391,946051,0 (1,50:14820099,10351164:1835391,364089,167189 k1,50:14990313,10351164:170214 (1,50:14990313,10351164:1402119,364089,167189 (1,50:14990313,10351164:1129056,364089,0 (1,50:14990313,10351164:1129056,364089,0 x1,50:16119369,10351164 ) ) [1,50:16119369,10518353:273063,402389,0 (1,50:16119369,10115964:32768,0,54612 h1,50:16119369,10115964:0,0,0 h1,50:16119369,10115964:0,0,54612 (1,50:16119369,10115964:0,0,0 h1,50:16119369,10115964:0,0,0 ) ) (1,50:16119369,10518353:273063,253405,0 h1,50:16119369,10518353:0,0,0 (1,50:16119369,10518353:240295,253405,0 (1,50:16119369,10518353:240295,253405,0 x1,50:16359664,10518353 ) ) ) ] ) k1,50:16655490,10351164:170214 ) (1,50:14820099,10861364:1835391,263276,0 $1,50:14820099,10861364 (1,50:14820099,10861364:558150,0,0 (1,50:14820099,10861364:558150,0,0 $1,50:14820099,10861364 (1,50:14820099,10861364:558150,418611,59802 x1,50:15378249,10861364 ) $1,50:15378249,10861364 ) ) (1,50:15259382,10861364:398682,0,0 $1,50:15259382,10861364 (1,50:15179648,10861364:558150,0,0 (1,50:15179648,10861364:558150,0,0 $1,50:15179648,10861364 (1,50:15179648,10861364:558150,418611,59802 x1,50:15737798,10861364 ) $1,50:15737798,10861364 ) ) $1,50:15658064,10861364 ) (1,50:15658064,10861364:398682,0,0 (1,50:15578330,10861364:558150,0,0 (1,50:15578330,10861364:558150,0,0 (1,50:15578330,10861364:558150,418611,59802 x1,50:16136480,10861364 ) ) ) ) x1,50:16655490,10861364 $1,50:16655490,10861364 ) ] ) g1,50:16854825,10861364 h1,50:16854825,10861364:0,0,0 h1,50:16854825,10861364:0,0,0 ) (1,50:16854825,10861364:3046892,490372,205512 (1,50:16854825,10861364:518281,490372,0 (1,50:16854825,10861364:518281,490372,0 x1,50:17373106,10861364 ) ) [1,50:17373106,11066876:311300,507307,0 (1,50:17373106,10559569:32768,0,54616 h1,50:17373106,10559569:0,0,0 h1,50:17373106,10559569:0,0,54616 (1,50:17373106,10559569:0,0,0 h1,50:17373106,10559569:0,0,0 ) ) (1,50:17373106,11066876:311300,337875,0 h1,50:17373106,11066876:0,0,0 (1,50:17373106,11066876:278532,337875,0 (1,50:17373106,11066876:278532,337875,0 x1,50:17651638,11066876 ) ) ) ] (1,50:17684406,10861364:538215,490372,0 (1,50:17684406,10861364:538215,490372,0 x1,50:18222621,10861364 ) ) [1,50:18222621,11066876:311300,507307,0 (1,50:18222621,10559569:32768,0,54616 h1,50:18222621,10559569:0,0,0 h1,50:18222621,10559569:0,0,54616 (1,50:18222621,10559569:0,0,0 h1,50:18222621,10559569:0,0,0 ) ) (1,50:18222621,11066876:311300,337875,0 h1,50:18222621,11066876:0,0,0 (1,50:18222621,11066876:278532,337875,0 (1,50:18222621,11066876:278532,337875,0 x1,50:18501153,11066876 ) ) ) ] (1,50:18533921,10861364:1056496,490372,0 (1,50:18533921,10861364:1056496,490372,0 x1,50:19590417,10861364 ) ) [1,50:19590417,11066876:311300,507307,0 (1,50:19590417,10559569:32768,0,54616 h1,50:19590417,10559569:0,0,0 h1,50:19590417,10559569:0,0,54616 (1,50:19590417,10559569:0,0,0 h1,50:19590417,10559569:0,0,0 ) ) (1,50:19590417,11066876:311300,337875,0 h1,50:19590417,11066876:0,0,0 (1,50:19590417,11066876:278532,337875,0 (1,50:19590417,11066876:278532,337875,0 x1,50:19868949,11066876 ) ) ) ] ) g1,50:20061185,10861364 x1,50:20619335,10861364 g1,50:20778803,10861364 h1,50:20778803,10861364:0,0,0 (1,50:20778803,10861364:1255835,498346,0 (1,50:20778803,10861364:1255835,498346,0 x1,50:22034638,10861364 ) ) $1,50:22034638,10861364 k1,51:33572126,10861364:11537488 g1,52:33572126,10861364 ) (1,52:9979166,11752654:23592960,498346,139537 x1,52:11334672,11752654 x1,51:13451651,11752654 g1,51:13690857,11752654 x1,51:15909500,11752654 x1,51:16268310,11752654 x1,51:16607185,11752654 g1,51:16846391,11752654 x1,51:18522832,11752654 g1,51:18762038,11752654 x1,51:20994634,11752654 g1,51:21233840,11752654 x1,51:23053805,11752654 g1,51:23293011,11752654 x1,51:26526288,11752654 g1,51:26765494,11752654 x1,51:27363511,11752654 g1,51:27602717,11752654 x1,51:29081812,11752654 k1,52:33572126,11752654:4490314 g1,52:33572126,11752654 ) (1,53:9979166,13618226:23592960,623900,267389 r1,53:9979166,13618226:0,891289,267389 x1,53:12343008,13618226 g1,53:12618093,13618226 x1,53:13137367,13618226 x1,53:15356989,13618226 x1,53:17592658,13618226 r1,53:17592658,13618226:0,891289,267389 k1,53:33572126,13618226:15979468 g1,53:33572126,13618226 ) (1,55:9979166,15360663:23592960,946051,205512 $1,54:9979166,15360663 (1,54:9979166,15360663:1679096,490372,205512 (1,54:9979166,15360663:518281,490372,0 (1,54:9979166,15360663:518281,490372,0 x1,54:10497447,15360663 ) ) [1,54:10497447,15566175:311300,507307,0 (1,54:10497447,15058868:32768,0,54616 h1,54:10497447,15058868:0,0,0 h1,54:10497447,15058868:0,0,54616 (1,54:10497447,15058868:0,0,0 h1,54:10497447,15058868:0,0,0 ) ) (1,54:10497447,15566175:311300,337875,0 h1,54:10497447,15566175:0,0,0 (1,54:10497447,15566175:278532,337875,0 (1,54:10497447,15566175:278532,337875,0 x1,54:10775979,15566175 ) ) ) ] (1,54:10808747,15360663:538215,490372,0 (1,54:10808747,15360663:538215,490372,0 x1,54:11346962,15360663 ) ) [1,54:11346962,15566175:311300,507307,0 (1,54:11346962,15058868:32768,0,54616 h1,54:11346962,15058868:0,0,0 h1,54:11346962,15058868:0,0,54616 (1,54:11346962,15058868:0,0,0 h1,54:11346962,15058868:0,0,0 ) ) (1,54:11346962,15566175:311300,337875,0 h1,54:11346962,15566175:0,0,0 (1,54:11346962,15566175:278532,337875,0 (1,54:11346962,15566175:278532,337875,0 x1,54:11625494,15566175 ) ) ) ] ) g1,54:11817730,15360663 x1,54:12375880,15360663 g1,54:12535348,15360663 h1,54:12535348,15360663:0,0,0 (1,54:12535348,15360663:3161847,498346,205512 (1,54:12535348,15360663:1056496,490372,0 (1,54:12535348,15360663:1056496,490372,0 x1,54:13591844,15360663 ) ) [1,54:13591844,15566175:311300,507307,0 (1,54:13591844,15058868:32768,0,54616 h1,54:13591844,15058868:0,0,0 h1,54:13591844,15058868:0,0,54616 (1,54:13591844,15058868:0,0,0 h1,54:13591844,15058868:0,0,0 ) ) (1,54:13591844,15566175:311300,337875,0 h1,54:13591844,15566175:0,0,0 (1,54:13591844,15566175:278532,337875,0 (1,54:13591844,15566175:278532,337875,0 x1,54:13870376,15566175 ) ) ) ] (1,54:13903144,15360663:1794051,498346,0 (1,54:13903144,15360663:1794051,498346,0 x1,54:15697195,15360663 ) ) ) (1,54:15697195,15360663:2234061,946051,0 h1,54:15697195,15360663:0,0,0 g1,54:15896530,15360663 (1,54:15896530,15360663:1835391,946051,0 [1,54:15896530,15360663:1835391,946051,0 (1,54:15896530,14850463:1835391,364089,167189 k1,54:16066744,14850463:170214 (1,54:16066744,14850463:1402119,364089,167189 (1,54:16066744,14850463:1129056,364089,0 (1,54:16066744,14850463:1129056,364089,0 x1,54:17195800,14850463 ) ) [1,54:17195800,15017652:273063,402389,0 (1,54:17195800,14615263:32768,0,54612 h1,54:17195800,14615263:0,0,0 h1,54:17195800,14615263:0,0,54612 (1,54:17195800,14615263:0,0,0 h1,54:17195800,14615263:0,0,0 ) ) (1,54:17195800,15017652:273063,253405,0 h1,54:17195800,15017652:0,0,0 (1,54:17195800,15017652:240295,253405,0 (1,54:17195800,15017652:240295,253405,0 x1,54:17436095,15017652 ) ) ) ] ) k1,54:17731921,14850463:170214 ) (1,54:15896530,15360663:1835391,263276,0 $1,54:15896530,15360663 (1,54:15896530,15360663:558150,0,0 (1,54:15896530,15360663:558150,0,0 $1,54:15896530,15360663 (1,54:15896530,15360663:558150,418611,59802 x1,54:16454680,15360663 ) $1,54:16454680,15360663 ) ) (1,54:16335813,15360663:398682,0,0 $1,54:16335813,15360663 (1,54:16256079,15360663:558150,0,0 (1,54:16256079,15360663:558150,0,0 $1,54:16256079,15360663 (1,54:16256079,15360663:558150,418611,59802 x1,54:16814229,15360663 ) $1,54:16814229,15360663 ) ) $1,54:16734495,15360663 ) (1,54:16734495,15360663:398682,0,0 (1,54:16654761,15360663:558150,0,0 (1,54:16654761,15360663:558150,0,0 (1,54:16654761,15360663:558150,418611,59802 x1,54:17212911,15360663 ) ) ) ) x1,54:17731921,15360663 $1,54:17731921,15360663 ) ] ) g1,54:17931256,15360663 h1,54:17931256,15360663:0,0,0 h1,54:17931256,15360663:0,0,0 ) g1,54:18090724,15360663 x1,54:18648874,15360663 g1,54:18808342,15360663 h1,54:18808342,15360663:0,0,0 (1,54:18808342,15360663:4123323,490372,205512 (1,54:18808342,15360663:518281,490372,0 (1,54:18808342,15360663:518281,490372,0 x1,54:19326623,15360663 ) ) [1,54:19326623,15566175:311300,507307,0 (1,54:19326623,15058868:32768,0,54616 h1,54:19326623,15058868:0,0,0 h1,54:19326623,15058868:0,0,54616 (1,54:19326623,15058868:0,0,0 h1,54:19326623,15058868:0,0,0 ) ) (1,54:19326623,15566175:311300,337875,0 h1,54:19326623,15566175:0,0,0 (1,54:19326623,15566175:278532,337875,0 (1,54:19326623,15566175:278532,337875,0 x1,54:19605155,15566175 ) ) ) ] (1,54:19637923,15360663:538215,490372,0 (1,54:19637923,15360663:538215,490372,0 x1,54:20176138,15360663 ) ) [1,54:20176138,15566175:311300,507307,0 (1,54:20176138,15058868:32768,0,54616 h1,54:20176138,15058868:0,0,0 h1,54:20176138,15058868:0,0,54616 (1,54:20176138,15058868:0,0,0 h1,54:20176138,15058868:0,0,0 ) ) (1,54:20176138,15566175:311300,337875,0 h1,54:20176138,15566175:0,0,0 (1,54:20176138,15566175:278532,337875,0 (1,54:20176138,15566175:278532,337875,0 x1,54:20454670,15566175 ) ) ) ] (1,54:20487438,15360663:2132927,490372,0 (1,54:20487438,15360663:2132927,490372,0 x1,54:22620365,15360663 ) ) [1,54:22620365,15566175:311300,507307,0 (1,54:22620365,15058868:32768,0,54616 h1,54:22620365,15058868:0,0,0 h1,54:22620365,15058868:0,0,54616 (1,54:22620365,15058868:0,0,0 h1,54:22620365,15058868:0,0,0 ) ) (1,54:22620365,15566175:311300,337875,0 h1,54:22620365,15566175:0,0,0 (1,54:22620365,15566175:278532,337875,0 (1,54:22620365,15566175:278532,337875,0 x1,54:22898897,15566175 ) ) ) ] ) g1,54:23091133,15360663 x1,54:23649283,15360663 g1,54:23808751,15360663 h1,54:23808751,15360663:0,0,0 (1,54:23808751,15360663:1255835,498346,0 (1,54:23808751,15360663:1255835,498346,0 x1,54:25064586,15360663 ) ) $1,54:25064586,15360663 k1,55:33572126,15360663:8507540 g1,55:33572126,15360663 ) (1,56:9979166,17226236:23592960,623900,267389 r1,56:9979166,17226236:0,891289,267389 x1,56:12470984,17226236 r1,56:12470984,17226236:0,891289,267389 k1,56:33572126,17226236:21101142 g1,56:33572126,17226236 ) (1,58:9979166,18962847:23592960,940225,205512 $1,57:9979166,18962847 (1,57:9979166,18962847:1679096,490372,205512 (1,57:9979166,18962847:518281,490372,0 (1,57:9979166,18962847:518281,490372,0 x1,57:10497447,18962847 ) ) [1,57:10497447,19168359:311300,507307,0 (1,57:10497447,18661052:32768,0,54616 h1,57:10497447,18661052:0,0,0 h1,57:10497447,18661052:0,0,54616 (1,57:10497447,18661052:0,0,0 h1,57:10497447,18661052:0,0,0 ) ) (1,57:10497447,19168359:311300,337875,0 h1,57:10497447,19168359:0,0,0 (1,57:10497447,19168359:278532,337875,0 (1,57:10497447,19168359:278532,337875,0 x1,57:10775979,19168359 ) ) ) ] (1,57:10808747,18962847:538215,490372,0 (1,57:10808747,18962847:538215,490372,0 x1,57:11346962,18962847 ) ) [1,57:11346962,19168359:311300,507307,0 (1,57:11346962,18661052:32768,0,54616 h1,57:11346962,18661052:0,0,0 h1,57:11346962,18661052:0,0,54616 (1,57:11346962,18661052:0,0,0 h1,57:11346962,18661052:0,0,0 ) ) (1,57:11346962,19168359:311300,337875,0 h1,57:11346962,19168359:0,0,0 (1,57:11346962,19168359:278532,337875,0 (1,57:11346962,19168359:278532,337875,0 x1,57:11625494,19168359 ) ) ) ] ) g1,57:11817730,18962847 x1,57:12375880,18962847 g1,57:12535348,18962847 h1,57:12535348,18962847:0,0,0 (1,57:12535348,18962847:1945880,490372,205512 (1,57:12535348,18962847:1634580,490372,0 (1,57:12535348,18962847:1634580,490372,0 x1,57:14169928,18962847 ) ) [1,57:14169928,19168359:311300,507307,0 (1,57:14169928,18661052:32768,0,54616 h1,57:14169928,18661052:0,0,0 h1,57:14169928,18661052:0,0,54616 (1,57:14169928,18661052:0,0,0 h1,57:14169928,18661052:0,0,0 ) ) (1,57:14169928,19168359:311300,337875,0 h1,57:14169928,19168359:0,0,0 (1,57:14169928,19168359:278532,337875,0 (1,57:14169928,19168359:278532,337875,0 x1,57:14448460,19168359 ) ) ) ] ) (1,57:14481228,18962847:2538072,940225,0 h1,57:14481228,18962847:0,0,0 g1,57:14680563,18962847 (1,57:14680563,18962847:2139402,940225,0 [1,57:14680563,18962847:2139402,940225,0 (1,57:14680563,18452647:2139402,358263,167189 k1,57:14850777,18452647:170214 (1,57:14850777,18452647:1706130,358263,167189 (1,57:14850777,18452647:417252,358263,0 (1,57:14850777,18452647:417252,358263,0 x1,57:15268029,18452647 ) ) [1,57:15268029,18619836:273063,402389,0 (1,57:15268029,18217447:32768,0,54612 h1,57:15268029,18217447:0,0,0 h1,57:15268029,18217447:0,0,54612 (1,57:15268029,18217447:0,0,0 h1,57:15268029,18217447:0,0,0 ) ) (1,57:15268029,18619836:273063,253405,0 h1,57:15268029,18619836:0,0,0 (1,57:15268029,18619836:240295,253405,0 (1,57:15268029,18619836:240295,253405,0 x1,57:15508324,18619836 ) ) ) ] (1,57:15541092,18452647:742752,358263,0 (1,57:15541092,18452647:742752,358263,0 x1,57:16283844,18452647 ) ) [1,57:16283844,18619836:273063,402389,0 (1,57:16283844,18217447:32768,0,54612 h1,57:16283844,18217447:0,0,0 h1,57:16283844,18217447:0,0,54612 (1,57:16283844,18217447:0,0,0 h1,57:16283844,18217447:0,0,0 ) ) (1,57:16283844,18619836:273063,253405,0 h1,57:16283844,18619836:0,0,0 (1,57:16283844,18619836:240295,253405,0 (1,57:16283844,18619836:240295,253405,0 x1,57:16524139,18619836 ) ) ) ] ) k1,57:16819965,18452647:170214 ) (1,57:14680563,18962847:2139402,263276,0 $1,57:14680563,18962847 (1,57:14680563,18962847:558150,0,0 (1,57:14680563,18962847:558150,0,0 $1,57:14680563,18962847 (1,57:14680563,18962847:558150,418611,59802 x1,57:15238713,18962847 ) $1,57:15238713,18962847 ) ) (1,57:15072510,18962847:398682,0,0 $1,57:15072510,18962847 (1,57:14992776,18962847:558150,0,0 (1,57:14992776,18962847:558150,0,0 $1,57:14992776,18962847 (1,57:14992776,18962847:558150,418611,59802 x1,57:15550926,18962847 ) $1,57:15550926,18962847 ) ) $1,57:15471192,18962847 ) (1,57:15471192,18962847:398682,0,0 (1,57:15391458,18962847:558150,0,0 (1,57:15391458,18962847:558150,0,0 (1,57:15391458,18962847:558150,418611,59802 x1,57:15949608,18962847 ) ) ) ) (1,57:15869874,18962847:398682,0,0 (1,57:15790140,18962847:558150,0,0 (1,57:15790140,18962847:558150,0,0 (1,57:15790140,18962847:558150,418611,59802 x1,57:16348290,18962847 ) ) ) ) x1,57:16819965,18962847 $1,57:16819965,18962847 ) ] ) g1,57:17019300,18962847 h1,57:17019300,18962847:0,0,0 h1,57:17019300,18962847:0,0,0 ) (1,57:17019300,18962847:3086761,490372,205512 (1,57:17019300,18962847:518281,490372,0 (1,57:17019300,18962847:518281,490372,0 x1,57:17537581,18962847 ) ) [1,57:17537581,19168359:311300,507307,0 (1,57:17537581,18661052:32768,0,54616 h1,57:17537581,18661052:0,0,0 h1,57:17537581,18661052:0,0,54616 (1,57:17537581,18661052:0,0,0 h1,57:17537581,18661052:0,0,0 ) ) (1,57:17537581,19168359:311300,337875,0 h1,57:17537581,19168359:0,0,0 (1,57:17537581,19168359:278532,337875,0 (1,57:17537581,19168359:278532,337875,0 x1,57:17816113,19168359 ) ) ) ] (1,57:17848881,18962847:538215,490372,0 (1,57:17848881,18962847:538215,490372,0 x1,57:18387096,18962847 ) ) [1,57:18387096,19168359:311300,507307,0 (1,57:18387096,18661052:32768,0,54616 h1,57:18387096,18661052:0,0,0 h1,57:18387096,18661052:0,0,54616 (1,57:18387096,18661052:0,0,0 h1,57:18387096,18661052:0,0,0 ) ) (1,57:18387096,19168359:311300,337875,0 h1,57:18387096,19168359:0,0,0 (1,57:18387096,19168359:278532,337875,0 (1,57:18387096,19168359:278532,337875,0 x1,57:18665628,19168359 ) ) ) ] (1,57:18698396,18962847:1096365,490372,0 (1,57:18698396,18962847:1096365,490372,0 x1,57:19794761,18962847 ) ) [1,57:19794761,19168359:311300,507307,0 (1,57:19794761,18661052:32768,0,54616 h1,57:19794761,18661052:0,0,0 h1,57:19794761,18661052:0,0,54616 (1,57:19794761,18661052:0,0,0 h1,57:19794761,18661052:0,0,0 ) ) (1,57:19794761,19168359:311300,337875,0 h1,57:19794761,19168359:0,0,0 (1,57:19794761,19168359:278532,337875,0 (1,57:19794761,19168359:278532,337875,0 x1,57:20073293,19168359 ) ) ) ] ) g1,57:20265529,18962847 x1,57:20823679,18962847 g1,57:20983147,18962847 h1,57:20983147,18962847:0,0,0 (1,57:20983147,18962847:1407665,490372,205512 (1,57:20983147,18962847:538215,490372,0 (1,57:20983147,18962847:538215,490372,0 x1,57:21521362,18962847 ) ) [1,57:21521362,19168359:311300,507307,0 (1,57:21521362,18661052:32768,0,54616 h1,57:21521362,18661052:0,0,0 h1,57:21521362,18661052:0,0,54616 (1,57:21521362,18661052:0,0,0 h1,57:21521362,18661052:0,0,0 ) ) (1,57:21521362,19168359:311300,337875,0 h1,57:21521362,19168359:0,0,0 (1,57:21521362,19168359:278532,337875,0 (1,57:21521362,19168359:278532,337875,0 x1,57:21799894,19168359 ) ) ) ] (1,57:21832662,18962847:558150,490372,0 (1,57:21832662,18962847:558150,490372,0 x1,57:22390812,18962847 ) ) ) $1,57:22390812,18962847 k1,58:33572126,18962847:11181314 g1,58:33572126,18962847 ) (1,59:9979166,20828419:23592960,623900,267389 r1,59:9979166,20828419:0,891289,267389 x1,59:13204544,20828419 r1,59:13204544,20828419:0,891289,267389 k1,59:33572126,20828419:20367582 g1,59:33572126,20828419 ) (1,61:9979166,22565030:23592960,940225,205512 $1,60:9979166,22565030 (1,60:9979166,22565030:1679096,490372,205512 (1,60:9979166,22565030:518281,490372,0 (1,60:9979166,22565030:518281,490372,0 x1,60:10497447,22565030 ) ) [1,60:10497447,22770542:311300,507307,0 (1,60:10497447,22263235:32768,0,54616 h1,60:10497447,22263235:0,0,0 h1,60:10497447,22263235:0,0,54616 (1,60:10497447,22263235:0,0,0 h1,60:10497447,22263235:0,0,0 ) ) (1,60:10497447,22770542:311300,337875,0 h1,60:10497447,22770542:0,0,0 (1,60:10497447,22770542:278532,337875,0 (1,60:10497447,22770542:278532,337875,0 x1,60:10775979,22770542 ) ) ) ] (1,60:10808747,22565030:538215,490372,0 (1,60:10808747,22565030:538215,490372,0 x1,60:11346962,22565030 ) ) [1,60:11346962,22770542:311300,507307,0 (1,60:11346962,22263235:32768,0,54616 h1,60:11346962,22263235:0,0,0 h1,60:11346962,22263235:0,0,54616 (1,60:11346962,22263235:0,0,0 h1,60:11346962,22263235:0,0,0 ) ) (1,60:11346962,22770542:311300,337875,0 h1,60:11346962,22770542:0,0,0 (1,60:11346962,22770542:278532,337875,0 (1,60:11346962,22770542:278532,337875,0 x1,60:11625494,22770542 ) ) ) ] ) g1,60:11817730,22565030 x1,60:12375880,22565030 g1,60:12535348,22565030 h1,60:12535348,22565030:0,0,0 (1,60:12535348,22565030:2117643,490372,205512 (1,60:12535348,22565030:538215,490372,0 (1,60:12535348,22565030:538215,490372,0 x1,60:13073563,22565030 ) ) [1,60:13073563,22770542:311300,507307,0 (1,60:13073563,22263235:32768,0,54616 h1,60:13073563,22263235:0,0,0 h1,60:13073563,22263235:0,0,54616 (1,60:13073563,22263235:0,0,0 h1,60:13073563,22263235:0,0,0 ) ) (1,60:13073563,22770542:311300,337875,0 h1,60:13073563,22770542:0,0,0 (1,60:13073563,22770542:278532,337875,0 (1,60:13073563,22770542:278532,337875,0 x1,60:13352095,22770542 ) ) ) ] (1,60:13384863,22565030:956828,490372,0 (1,60:13384863,22565030:956828,490372,0 x1,60:14341691,22565030 ) ) [1,60:14341691,22770542:311300,507307,0 (1,60:14341691,22263235:32768,0,54616 h1,60:14341691,22263235:0,0,0 h1,60:14341691,22263235:0,0,54616 (1,60:14341691,22263235:0,0,0 h1,60:14341691,22263235:0,0,0 ) ) (1,60:14341691,22770542:311300,337875,0 h1,60:14341691,22770542:0,0,0 (1,60:14341691,22770542:278532,337875,0 (1,60:14341691,22770542:278532,337875,0 x1,60:14620223,22770542 ) ) ) ] ) (1,60:14652991,22565030:2538072,940225,0 h1,60:14652991,22565030:0,0,0 g1,60:14852326,22565030 (1,60:14852326,22565030:2139402,940225,0 [1,60:14852326,22565030:2139402,940225,0 (1,60:14852326,22054830:2139402,358263,167189 k1,60:15022540,22054830:170214 (1,60:15022540,22054830:1706130,358263,167189 (1,60:15022540,22054830:417252,358263,0 (1,60:15022540,22054830:417252,358263,0 x1,60:15439792,22054830 ) ) [1,60:15439792,22222019:273063,402389,0 (1,60:15439792,21819630:32768,0,54612 h1,60:15439792,21819630:0,0,0 h1,60:15439792,21819630:0,0,54612 (1,60:15439792,21819630:0,0,0 h1,60:15439792,21819630:0,0,0 ) ) (1,60:15439792,22222019:273063,253405,0 h1,60:15439792,22222019:0,0,0 (1,60:15439792,22222019:240295,253405,0 (1,60:15439792,22222019:240295,253405,0 x1,60:15680087,22222019 ) ) ) ] (1,60:15712855,22054830:742752,358263,0 (1,60:15712855,22054830:742752,358263,0 x1,60:16455607,22054830 ) ) [1,60:16455607,22222019:273063,402389,0 (1,60:16455607,21819630:32768,0,54612 h1,60:16455607,21819630:0,0,0 h1,60:16455607,21819630:0,0,54612 (1,60:16455607,21819630:0,0,0 h1,60:16455607,21819630:0,0,0 ) ) (1,60:16455607,22222019:273063,253405,0 h1,60:16455607,22222019:0,0,0 (1,60:16455607,22222019:240295,253405,0 (1,60:16455607,22222019:240295,253405,0 x1,60:16695902,22222019 ) ) ) ] ) k1,60:16991728,22054830:170214 ) (1,60:14852326,22565030:2139402,263276,0 $1,60:14852326,22565030 (1,60:14852326,22565030:558150,0,0 (1,60:14852326,22565030:558150,0,0 $1,60:14852326,22565030 (1,60:14852326,22565030:558150,418611,59802 x1,60:15410476,22565030 ) $1,60:15410476,22565030 ) ) (1,60:15244273,22565030:398682,0,0 $1,60:15244273,22565030 (1,60:15164539,22565030:558150,0,0 (1,60:15164539,22565030:558150,0,0 $1,60:15164539,22565030 (1,60:15164539,22565030:558150,418611,59802 x1,60:15722689,22565030 ) $1,60:15722689,22565030 ) ) $1,60:15642955,22565030 ) (1,60:15642955,22565030:398682,0,0 (1,60:15563221,22565030:558150,0,0 (1,60:15563221,22565030:558150,0,0 (1,60:15563221,22565030:558150,418611,59802 x1,60:16121371,22565030 ) ) ) ) (1,60:16041637,22565030:398682,0,0 (1,60:15961903,22565030:558150,0,0 (1,60:15961903,22565030:558150,0,0 (1,60:15961903,22565030:558150,418611,59802 x1,60:16520053,22565030 ) ) ) ) x1,60:16991728,22565030 $1,60:16991728,22565030 ) ] ) g1,60:17191063,22565030 h1,60:17191063,22565030:0,0,0 h1,60:17191063,22565030:0,0,0 ) (1,60:17191063,22565030:3485439,490372,205512 (1,60:17191063,22565030:518281,490372,0 (1,60:17191063,22565030:518281,490372,0 x1,60:17709344,22565030 ) ) [1,60:17709344,22770542:311300,507307,0 (1,60:17709344,22263235:32768,0,54616 h1,60:17709344,22263235:0,0,0 h1,60:17709344,22263235:0,0,54616 (1,60:17709344,22263235:0,0,0 h1,60:17709344,22263235:0,0,0 ) ) (1,60:17709344,22770542:311300,337875,0 h1,60:17709344,22770542:0,0,0 (1,60:17709344,22770542:278532,337875,0 (1,60:17709344,22770542:278532,337875,0 x1,60:17987876,22770542 ) ) ) ] (1,60:18020644,22565030:538215,490372,0 (1,60:18020644,22565030:538215,490372,0 x1,60:18558859,22565030 ) ) [1,60:18558859,22770542:311300,507307,0 (1,60:18558859,22263235:32768,0,54616 h1,60:18558859,22263235:0,0,0 h1,60:18558859,22263235:0,0,54616 (1,60:18558859,22263235:0,0,0 h1,60:18558859,22263235:0,0,0 ) ) (1,60:18558859,22770542:311300,337875,0 h1,60:18558859,22770542:0,0,0 (1,60:18558859,22770542:278532,337875,0 (1,60:18558859,22770542:278532,337875,0 x1,60:18837391,22770542 ) ) ) ] (1,60:18870159,22565030:956828,490372,0 (1,60:18870159,22565030:956828,490372,0 x1,60:19826987,22565030 ) ) [1,60:19826987,22770542:311300,507307,0 (1,60:19826987,22263235:32768,0,54616 h1,60:19826987,22263235:0,0,0 h1,60:19826987,22263235:0,0,54616 (1,60:19826987,22263235:0,0,0 h1,60:19826987,22263235:0,0,0 ) ) (1,60:19826987,22770542:311300,337875,0 h1,60:19826987,22770542:0,0,0 (1,60:19826987,22770542:278532,337875,0 (1,60:19826987,22770542:278532,337875,0 x1,60:20105519,22770542 ) ) ) ] (1,60:20138287,22565030:538215,490372,0 (1,60:20138287,22565030:538215,490372,0 x1,60:20676502,22565030 ) ) ) g1,60:20835970,22565030 x1,60:21394120,22565030 g1,60:21553588,22565030 h1,60:21553588,22565030:0,0,0 (1,60:21553588,22565030:1407665,490372,205512 (1,60:21553588,22565030:538215,490372,0 (1,60:21553588,22565030:538215,490372,0 x1,60:22091803,22565030 ) ) [1,60:22091803,22770542:311300,507307,0 (1,60:22091803,22263235:32768,0,54616 h1,60:22091803,22263235:0,0,0 h1,60:22091803,22263235:0,0,54616 (1,60:22091803,22263235:0,0,0 h1,60:22091803,22263235:0,0,0 ) ) (1,60:22091803,22770542:311300,337875,0 h1,60:22091803,22770542:0,0,0 (1,60:22091803,22770542:278532,337875,0 (1,60:22091803,22770542:278532,337875,0 x1,60:22370335,22770542 ) ) ) ] (1,60:22403103,22565030:558150,490372,0 (1,60:22403103,22565030:558150,490372,0 x1,60:22961253,22565030 ) ) ) $1,60:22961253,22565030 k1,61:33572126,22565030:10610873 g1,61:33572126,22565030 ) (1,62:9979166,24456817:23592960,642250,275254 g1,62:12682526,24456817 r1,62:12682526,24456817:0,917504,275254 (1,62:12682526,24456817:0,642250,275254 k1,62:9979166,24456817:-2703360 (1,62:9979166,24456817:2703360,642250,275254 x1,62:11797790,24456817 g1,62:12682526,24456817 r1,62:12682526,24456817:0,917504,275254 g1,62:12682526,24456817 ) ) x1,62:16526214,24456817 g1,62:16821126,24456817 x1,62:19115342,24456817 x1,62:19742030,24456817 g1,62:20036942,24456817 x1,62:22340169,24456817 r1,62:22340169,24456817:0,917504,275254 k1,62:33572126,24456817:11231957 g1,62:33572126,24456817 ) (1,64:9979166,25811568:23592960,498346,0 x1,64:11254935,25811568 x1,63:12470902,25811568 k1,64:33572126,25811568:21101224 g1,64:33572126,25811568 ) (1,64:9979166,26702858:23592960,0,0 g1,64:9979166,26702858 ) (1,66:16202423,27594148:11146447,836824,205512 h1,66:16202423,27594148:0,0,0 (1,66:16202423,27594148:11146447,836824,205512 (1,66:16202423,27594148:1679096,490372,205512 x1,66:16720704,27594148 [1,66:16720704,27799660:311300,507307,0 (1,66:16720704,27292353:32768,0,54616 h1,65:16720704,27292353:0,0,0 h1,65:16720704,27292353:0,0,54616 h1,66:16720704,27292353:0,0,0 ) (1,66:16720704,27799660:311300,337875,0 h1,65:16720704,27799660:0,0,0 x1,65:16999236,27799660 ) ] x1,66:17570219,27594148 [1,66:17570219,27799660:311300,507307,0 (1,66:17570219,27292353:32768,0,54616 h1,65:17570219,27292353:0,0,0 h1,65:17570219,27292353:0,0,54616 h1,66:17570219,27292353:0,0,0 ) (1,66:17570219,27799660:311300,337875,0 h1,65:17570219,27799660:0,0,0 x1,65:17848751,27799660 ) ] ) g1,66:18040987,27594148 x1,66:18599137,27594148 g1,66:18758605,27594148 h1,66:18758605,27594148:0,0,0 (1,66:18758605,27594148:1028920,498346,205512 (1,66:18758605,27594148:717620,498346,0 x1,66:19476225,27594148 ) [1,66:19476225,27799660:311300,507307,0 (1,66:19476225,27292353:32768,0,54616 h1,65:19476225,27292353:0,0,0 h1,65:19476225,27292353:0,0,54616 h1,66:19476225,27292353:0,0,0 ) (1,66:19476225,27799660:311300,337875,0 h1,65:19476225,27799660:0,0,0 x1,65:19754757,27799660 ) ] ) (1,66:19787525,27594148:3031708,836824,0 h1,66:19787525,27594148:0,0,0 g1,66:19986860,27594148 (1,66:19986860,27594148:2633038,836824,0 [1,66:19986860,27594148:2633038,836824,0 (1,66:19986860,27187349:2633038,358263,0 k1,66:20157074,27187349:170214 (1,66:20157074,27187349:2199766,358263,0 (1,65:20157074,27187349:2199766,358263,0 x1,65:21336648,27187349 g1,65:21522336,27187349 x1,65:22356840,27187349 ) ) k1,65:22356840,27187349:92844 k1,66:22619898,27187349:170214 ) (1,65:19986860,27594148:2633038,263276,0 $1,65:19986860,27594148 (1,65:19986860,27594148:558150,0,0 (1,65:19986860,27594148:558150,0,0 $1,65:19986860,27594148 (1,65:19986860,27594148:558150,418611,59802 x1,65:20545010,27594148 ) $1,65:20545010,27594148 ) ) (1,65:20426284,27594148:398682,0,0 $1,65:20426284,27594148 (1,65:20346550,27594148:558150,0,0 (1,65:20346550,27594148:558150,0,0 $1,65:20346550,27594148 (1,65:20346550,27594148:558150,418611,59802 x1,65:20904700,27594148 ) $1,65:20904700,27594148 ) ) $1,65:20824966,27594148 ) (1,65:20824966,27594148:398682,0,0 (1,65:20745232,27594148:558150,0,0 (1,65:20745232,27594148:558150,0,0 (1,65:20745232,27594148:558150,418611,59802 x1,65:21303382,27594148 ) ) ) ) (1,65:21223648,27594148:398682,0,0 (1,65:21143914,27594148:558150,0,0 (1,65:21143914,27594148:558150,0,0 (1,65:21143914,27594148:558150,418611,59802 x1,65:21702064,27594148 ) ) ) ) (1,65:21622330,27594148:398682,0,0 (1,65:21542596,27594148:558150,0,0 (1,65:21542596,27594148:558150,0,0 (1,65:21542596,27594148:558150,418611,59802 x1,65:22100746,27594148 ) ) ) ) x1,65:22619898,27594148 $1,65:22619898,27594148 ) ] ) g1,66:22819233,27594148 h1,66:22819233,27594148:0,0,0 h1,66:22819233,27594148:0,0,0 ) (1,66:22819233,27594148:2396716,498346,205512 x1,66:23337514,27594148 [1,66:23337514,27799660:311300,507307,0 (1,66:23337514,27292353:32768,0,54616 h1,65:23337514,27292353:0,0,0 h1,65:23337514,27292353:0,0,54616 h1,66:23337514,27292353:0,0,0 ) (1,66:23337514,27799660:311300,337875,0 h1,65:23337514,27799660:0,0,0 x1,65:23616046,27799660 ) ] x1,66:24187029,27594148 [1,66:24187029,27799660:311300,507307,0 (1,66:24187029,27292353:32768,0,54616 h1,65:24187029,27292353:0,0,0 h1,65:24187029,27292353:0,0,54616 h1,66:24187029,27292353:0,0,0 ) (1,66:24187029,27799660:311300,337875,0 h1,65:24187029,27799660:0,0,0 x1,65:24465561,27799660 ) ] (1,66:24498329,27594148:717620,498346,0 x1,66:25215949,27594148 ) ) g1,66:25375417,27594148 x1,66:25933567,27594148 g1,66:26093035,27594148 h1,66:26093035,27594148:0,0,0 (1,66:26093035,27594148:1255835,498346,0 x1,66:27348870,27594148 ) ) ) (1,68:9979166,28816343:23592960,498346,139537 x1,68:12211762,28816343 g1,67:12450968,28816343 x1,67:13746672,28816343 x1,67:15863651,28816343 g1,67:16102857,28816343 x1,67:18122161,28816343 k1,68:33572126,28816343:15449965 g1,68:33572126,28816343 ) (1,75:9979166,30388980:24807615,836824,267389 g1,75:9979166,30388980 (1,75:9979166,30388980:3784441,836824,267389 k1,75:12734687,30388980:2755521 (1,75:12734687,30388980:0,623900,267389 [1,75:12734687,30388980:0,623900,267389 (1,21:12734687,30388980:0,623900,267389 r1,75:12734687,30388980:0,891289,267389 ) ] ) (1,75:12734687,30388980:1028920,498346,205512 $1,75:12734687,30388980 (1,75:12734687,30388980:1028920,498346,205512 h1,75:12734687,30388980:0,0,0 (1,75:12734687,30388980:1028920,498346,205512 (1,75:12734687,30388980:717620,498346,0 x1,75:13452307,30388980 ) [1,75:13452307,30594492:311300,507307,0 (1,75:13452307,30087185:32768,0,54616 h1,75:13452307,30087185:0,0,0 h1,75:13452307,30087185:0,0,54616 h1,75:13452307,30087185:0,0,0 ) (1,75:13452307,30594492:311300,337875,0 h1,75:13452307,30594492:0,0,0 x1,75:13730839,30594492 ) ] ) ) $1,75:13763607,30388980 ) ) g1,75:13763607,30388980 (1,75:13763607,30388980:7175566,836824,267389 (1,75:13763607,30388980:5862320,836824,0 $1,75:13763607,30388980 (1,75:13763607,30388980:5862320,836824,0 h1,75:13763607,30388980:0,0,0 (1,75:13763607,30388980:4427078,836824,0 (1,75:13763607,30388980:3031708,836824,0 h1,75:13763607,30388980:0,0,0 g1,75:13962942,30388980 (1,75:13962942,30388980:2633038,836824,0 [1,75:13962942,30388980:2633038,836824,0 (1,75:13962942,29982181:2633038,358263,0 k1,75:14133156,29982181:170214 (1,75:14133156,29982181:2199766,358263,0 (1,75:14133156,29982181:2199766,358263,0 x1,75:15312730,29982181 g1,75:15498418,29982181 x1,75:16332922,29982181 ) ) k1,75:16595980,29982181:170214 ) (1,75:13962942,30388980:2633038,263276,0 $1,75:13962942,30388980 (1,75:13962942,30388980:558150,0,0 (1,75:13962942,30388980:558150,0,0 $1,75:13962942,30388980 (1,75:13962942,30388980:558150,418611,59802 x1,75:14521092,30388980 ) $1,75:14521092,30388980 ) ) (1,75:14402366,30388980:398682,0,0 $1,75:14402366,30388980 (1,75:14322632,30388980:558150,0,0 (1,75:14322632,30388980:558150,0,0 $1,75:14322632,30388980 (1,75:14322632,30388980:558150,418611,59802 x1,75:14880782,30388980 ) $1,75:14880782,30388980 ) ) $1,75:14801048,30388980 ) (1,75:14801048,30388980:398682,0,0 (1,75:14721314,30388980:558150,0,0 (1,75:14721314,30388980:558150,0,0 (1,75:14721314,30388980:558150,418611,59802 x1,75:15279464,30388980 ) ) ) ) (1,75:15199730,30388980:398682,0,0 (1,75:15119996,30388980:558150,0,0 (1,75:15119996,30388980:558150,0,0 (1,75:15119996,30388980:558150,418611,59802 x1,75:15678146,30388980 ) ) ) ) (1,75:15598412,30388980:398682,0,0 (1,75:15518678,30388980:558150,0,0 (1,75:15518678,30388980:558150,0,0 (1,75:15518678,30388980:558150,418611,59802 x1,75:16076828,30388980 ) ) ) ) x1,75:16595980,30388980 $1,75:16595980,30388980 ) ] ) g1,75:16795315,30388980 h1,75:16795315,30388980:0,0,0 h1,75:16795315,30388980:0,0,0 ) (1,75:16795315,30388980:478411,462465,0 x1,75:17154125,30388980 g1,75:17273726,30388980 ) (1,75:17273726,30388980:916959,498346,0 (1,75:17273726,30388980:199339,318942,0 (1,75:17273726,30388980:199339,318942,0 $1,75:17273726,30388980 x1,75:17473065,30388980 $1,75:17473065,30388980 ) ) h1,75:17473065,30388980:0,0,0 (1,75:17473065,30388980:717620,498346,0 x1,75:18190685,30388980 ) ) ) g1,75:19625927,30388980 ) $1,75:19625927,30388980 ) k1,75:20939173,30388980:1313246 ) g1,75:21594533,30388980 (1,75:21594533,30388980:13192248,836824,267389 k1,75:30632558,30388980:9038025 (1,75:30632558,30388980:0,623900,267389 [1,75:30632558,30388980:0,623900,267389 (1,21:30632558,30388980:0,623900,267389 r1,75:30632558,30388980:0,891289,267389 ) ] ) (1,75:30632558,30388980:4154223,498346,139537 $1,75:30632558,30388980 (1,75:30632558,30388980:4154223,498346,139537 (1,75:30632558,30388980:4154223,498346,139537 x1,75:32426609,30388980 g1,75:32665815,30388980 x1,75:34786781,30388980 ) ) $1,75:34786781,30388980 ) ) g1,75:34786781,30388980 (1,75:34786781,30388980:0,836824,267389 (1,75:34786781,30388980:0,0,0 $1,75:34786781,30388980 h1,75:34786781,30388980:0,0,0 $1,75:34786781,30388980 ) g1,75:34786781,30388980 ) g1,75:35442141,30388980 (1,75:35442141,30388980:-655360,836824,267389 ) g1,75:34786781,30388980 ) (1,75:9979166,31476878:24807615,623900,267389 g1,75:9979166,31476878 (1,75:9979166,31476878:3784441,623900,267389 k1,75:10290466,31476878:311300 (1,75:10290466,31476878:0,623900,267389 [1,75:10290466,31476878:0,623900,267389 (1,21:10290466,31476878:0,623900,267389 r1,75:10290466,31476878:0,891289,267389 ) ] ) (1,75:10290466,31476878:3473141,498346,205512 $1,75:10290466,31476878 (1,75:10290466,31476878:3473141,498346,205512 h1,75:10290466,31476878:0,0,0 (1,75:10290466,31476878:3473141,498346,205512 (1,75:10290466,31476878:916959,498346,0 (1,75:10290466,31476878:199339,318942,0 (1,75:10290466,31476878:199339,318942,0 $1,75:10290466,31476878 x1,75:10489805,31476878 $1,75:10489805,31476878 ) ) h1,75:10489805,31476878:0,0,0 (1,75:10489805,31476878:717620,498346,0 x1,75:11207425,31476878 ) ) g1,75:11366893,31476878 x1,75:11925043,31476878 g1,75:12084511,31476878 h1,75:12084511,31476878:0,0,0 (1,75:12084511,31476878:1679096,490372,205512 x1,75:12602792,31476878 [1,75:12602792,31682390:311300,507307,0 (1,75:12602792,31175083:32768,0,54616 h1,75:12602792,31175083:0,0,0 h1,75:12602792,31175083:0,0,54616 h1,75:12602792,31175083:0,0,0 ) (1,75:12602792,31682390:311300,337875,0 h1,75:12602792,31682390:0,0,0 x1,75:12881324,31682390 ) ] x1,75:13452307,31476878 [1,75:13452307,31682390:311300,507307,0 (1,75:13452307,31175083:32768,0,54616 h1,75:13452307,31175083:0,0,0 h1,75:13452307,31175083:0,0,54616 h1,75:13452307,31175083:0,0,0 ) (1,75:13452307,31682390:311300,337875,0 h1,75:13452307,31682390:0,0,0 x1,75:13730839,31682390 ) ] ) ) ) $1,75:13763607,31476878 ) ) g1,75:13763607,31476878 (1,75:13763607,31476878:7175566,623900,267389 (1,75:13763607,31476878:6996161,498346,205512 $1,75:13763607,31476878 (1,75:13763607,31476878:6996161,498346,205512 h1,75:13763607,31476878:0,0,0 (1,75:13763607,31476878:5560919,498346,205512 (1,75:13763607,31476878:1549563,478561,0 h1,75:13763607,31476878:0,0,0 g1,75:13962942,31476878 (1,75:13962942,31476878:1150893,478561,0 [1,75:13962942,31476878:1150893,478561,0 (1,75:13962942,31070079:1150893,0,0 k1,75:14133156,31070079:170214 g1,75:14850777,31070079 k1,75:15113835,31070079:170214 ) (1,75:13962942,31476878:1150893,263276,0 $1,75:13962942,31476878 (1,75:13962942,31476878:558150,0,0 (1,75:13962942,31476878:558150,0,0 $1,75:13962942,31476878 (1,75:13962942,31476878:558150,418611,59802 x1,75:14521092,31476878 ) $1,75:14521092,31476878 ) ) (1,75:14259317,31476878:398682,0,0 $1,75:14259317,31476878 (1,75:14179583,31476878:558150,0,0 (1,75:14179583,31476878:558150,0,0 $1,75:14179583,31476878 (1,75:14179583,31476878:558150,418611,59802 x1,75:14737733,31476878 ) $1,75:14737733,31476878 ) ) $1,75:14657999,31476878 ) x1,75:15113835,31476878 $1,75:15113835,31476878 ) ] ) g1,75:15313170,31476878 h1,75:15313170,31476878:0,0,0 ) (1,75:15313170,31476878:1255835,498346,0 x1,75:16569005,31476878 ) g1,75:16728473,31476878 x1,75:17286623,31476878 g1,75:17446091,31476878 h1,75:17446091,31476878:0,0,0 (1,75:17446091,31476878:199339,318942,0 (1,75:17446091,31476878:199339,318942,0 (1,75:17446091,31476878:199339,318942,0 $1,75:17446091,31476878 x1,75:17645430,31476878 $1,75:17645430,31476878 ) ) h1,75:17645430,31476878:0,0,0 ) (1,75:17645430,31476878:1679096,490372,205512 x1,75:18163711,31476878 [1,75:18163711,31682390:311300,507307,0 (1,75:18163711,31175083:32768,0,54616 h1,75:18163711,31175083:0,0,0 h1,75:18163711,31175083:0,0,54616 h1,75:18163711,31175083:0,0,0 ) (1,75:18163711,31682390:311300,337875,0 h1,75:18163711,31682390:0,0,0 x1,75:18442243,31682390 ) ] x1,75:19013226,31476878 [1,75:19013226,31682390:311300,507307,0 (1,75:19013226,31175083:32768,0,54616 h1,75:19013226,31175083:0,0,0 h1,75:19013226,31175083:0,0,54616 h1,75:19013226,31175083:0,0,0 ) (1,75:19013226,31682390:311300,337875,0 h1,75:19013226,31682390:0,0,0 x1,75:19291758,31682390 ) ] ) ) g1,75:20759768,31476878 ) $1,75:20759768,31476878 ) k1,75:20939173,31476878:179405 ) g1,75:21594533,31476878 (1,75:21594533,31476878:13192248,623900,267389 k1,75:29757460,31476878:8162927 (1,75:29757460,31476878:0,623900,267389 [1,75:29757460,31476878:0,623900,267389 (1,21:29757460,31476878:0,623900,267389 r1,75:29757460,31476878:0,891289,267389 ) ] ) (1,75:29757460,31476878:5029321,498346,139537 $1,75:29757460,31476878 (1,75:29757460,31476878:5029321,498346,139537 (1,75:29757460,31476878:5029321,498346,139537 x1,75:31551511,31476878 g1,75:31790717,31476878 x1,75:34786781,31476878 ) ) $1,75:34786781,31476878 ) ) g1,75:34786781,31476878 (1,75:34786781,31476878:0,623900,267389 (1,75:34786781,31476878:0,0,0 $1,75:34786781,31476878 h1,75:34786781,31476878:0,0,0 $1,75:34786781,31476878 ) g1,75:34786781,31476878 ) g1,75:35442141,31476878 (1,75:35442141,31476878:-655360,623900,267389 ) g1,75:34786781,31476878 ) (1,75:9979166,32564776:24807615,623900,267389 g1,75:9979166,32564776 (1,75:9979166,32564776:3784441,623900,267389 g1,75:9979166,32564776 (1,75:9979166,32564776:0,623900,267389 [1,75:9979166,32564776:0,623900,267389 (1,21:9979166,32564776:0,623900,267389 r1,75:9979166,32564776:0,891289,267389 ) ] ) (1,75:9979166,32564776:3784441,498346,205512 $1,75:9979166,32564776 (1,75:9979166,32564776:3784441,498346,205512 h1,75:9979166,32564776:0,0,0 (1,75:9979166,32564776:3784441,498346,205512 (1,75:9979166,32564776:1878435,490372,205512 (1,75:9979166,32564776:199339,318942,0 (1,75:9979166,32564776:199339,318942,0 $1,75:9979166,32564776 x1,75:10178505,32564776 $1,75:10178505,32564776 ) ) h1,75:10178505,32564776:0,0,0 x1,75:10696786,32564776 [1,75:10696786,32770288:311300,507307,0 (1,75:10696786,32262981:32768,0,54616 h1,75:10696786,32262981:0,0,0 h1,75:10696786,32262981:0,0,54616 h1,75:10696786,32262981:0,0,0 ) (1,75:10696786,32770288:311300,337875,0 h1,75:10696786,32770288:0,0,0 x1,75:10975318,32770288 ) ] x1,75:11546301,32564776 [1,75:11546301,32770288:311300,507307,0 (1,75:11546301,32262981:32768,0,54616 h1,75:11546301,32262981:0,0,0 h1,75:11546301,32262981:0,0,54616 h1,75:11546301,32262981:0,0,0 ) (1,75:11546301,32770288:311300,337875,0 h1,75:11546301,32770288:0,0,0 x1,75:11824833,32770288 ) ] ) g1,75:12017069,32564776 x1,75:12575219,32564776 g1,75:12734687,32564776 h1,75:12734687,32564776:0,0,0 (1,75:12734687,32564776:1028920,498346,205512 (1,75:12734687,32564776:717620,498346,0 x1,75:13452307,32564776 ) [1,75:13452307,32770288:311300,507307,0 (1,75:13452307,32262981:32768,0,54616 h1,75:13452307,32262981:0,0,0 h1,75:13452307,32262981:0,0,54616 h1,75:13452307,32262981:0,0,0 ) (1,75:13452307,32770288:311300,337875,0 h1,75:13452307,32770288:0,0,0 x1,75:13730839,32770288 ) ] ) ) ) $1,75:13763607,32564776 ) ) g1,75:13763607,32564776 (1,75:13763607,32564776:7175566,623900,267389 (1,75:13763607,32564776:7175566,498346,205512 $1,75:13763607,32564776 (1,75:13763607,32564776:7175566,498346,205512 h1,75:13763607,32564776:0,0,0 (1,75:13763607,32564776:5740324,498346,205512 (1,75:13763607,32564776:1549563,478561,0 h1,75:13763607,32564776:0,0,0 g1,75:13962942,32564776 (1,75:13962942,32564776:1150893,478561,0 [1,75:13962942,32564776:1150893,478561,0 (1,75:13962942,32157977:1150893,0,0 k1,75:14133156,32157977:170214 g1,75:14850777,32157977 k1,75:15113835,32157977:170214 ) (1,75:13962942,32564776:1150893,263276,0 $1,75:13962942,32564776 (1,75:13962942,32564776:558150,0,0 (1,75:13962942,32564776:558150,0,0 $1,75:13962942,32564776 (1,75:13962942,32564776:558150,418611,59802 x1,75:14521092,32564776 ) $1,75:14521092,32564776 ) ) (1,75:14259317,32564776:398682,0,0 $1,75:14259317,32564776 (1,75:14179583,32564776:558150,0,0 (1,75:14179583,32564776:558150,0,0 $1,75:14179583,32564776 (1,75:14179583,32564776:558150,418611,59802 x1,75:14737733,32564776 ) $1,75:14737733,32564776 ) ) $1,75:14657999,32564776 ) x1,75:15113835,32564776 $1,75:15113835,32564776 ) ] ) g1,75:15313170,32564776 h1,75:15313170,32564776:0,0,0 ) (1,75:15313170,32564776:2396716,498346,205512 x1,75:15831451,32564776 [1,75:15831451,32770288:311300,507307,0 (1,75:15831451,32262981:32768,0,54616 h1,75:15831451,32262981:0,0,0 h1,75:15831451,32262981:0,0,54616 h1,75:15831451,32262981:0,0,0 ) (1,75:15831451,32770288:311300,337875,0 h1,75:15831451,32770288:0,0,0 x1,75:16109983,32770288 ) ] x1,75:16680966,32564776 [1,75:16680966,32770288:311300,507307,0 (1,75:16680966,32262981:32768,0,54616 h1,75:16680966,32262981:0,0,0 h1,75:16680966,32262981:0,0,54616 h1,75:16680966,32262981:0,0,0 ) (1,75:16680966,32770288:311300,337875,0 h1,75:16680966,32770288:0,0,0 x1,75:16959498,32770288 ) ] (1,75:16992266,32564776:717620,498346,0 x1,75:17709886,32564776 ) ) g1,75:17869354,32564776 x1,75:18427504,32564776 g1,75:18586972,32564776 h1,75:18586972,32564776:0,0,0 (1,75:18586972,32564776:916959,498346,0 (1,75:18586972,32564776:199339,318942,0 (1,75:18586972,32564776:199339,318942,0 $1,75:18586972,32564776 x1,75:18786311,32564776 $1,75:18786311,32564776 ) ) h1,75:18786311,32564776:0,0,0 (1,75:18786311,32564776:717620,498346,0 x1,75:19503931,32564776 ) ) ) g1,75:20939173,32564776 ) $1,75:20939173,32564776 ) g1,75:20939173,32564776 ) g1,75:21594533,32564776 (1,75:21594533,32564776:13192248,623900,267389 k1,75:29757460,32564776:8162927 (1,75:29757460,32564776:0,623900,267389 [1,75:29757460,32564776:0,623900,267389 (1,21:29757460,32564776:0,623900,267389 r1,75:29757460,32564776:0,891289,267389 ) ] ) (1,75:29757460,32564776:5029321,498346,139537 $1,75:29757460,32564776 (1,75:29757460,32564776:5029321,498346,139537 (1,75:29757460,32564776:5029321,498346,139537 x1,75:31551511,32564776 g1,75:31790717,32564776 x1,75:34786781,32564776 ) ) $1,75:34786781,32564776 ) ) g1,75:34786781,32564776 (1,75:34786781,32564776:0,623900,267389 (1,75:34786781,32564776:0,0,0 $1,75:34786781,32564776 h1,75:34786781,32564776:0,0,0 $1,75:34786781,32564776 ) g1,75:34786781,32564776 ) g1,75:35442141,32564776 (1,75:35442141,32564776:-655360,623900,267389 ) g1,75:34786781,32564776 ) (1,75:9979166,33652674:24807615,623900,267389 g1,75:9979166,33652674 (1,75:9979166,33652674:3784441,623900,267389 k1,75:10091127,33652674:111961 (1,75:10091127,33652674:0,623900,267389 [1,75:10091127,33652674:0,623900,267389 (1,21:10091127,33652674:0,623900,267389 r1,75:10091127,33652674:0,891289,267389 ) ] ) (1,75:10091127,33652674:3672480,498346,205512 $1,75:10091127,33652674 (1,75:10091127,33652674:3672480,498346,205512 h1,75:10091127,33652674:0,0,0 (1,75:10091127,33652674:3672480,498346,205512 (1,75:10091127,33652674:1878435,490372,205512 (1,75:10091127,33652674:199339,318942,0 (1,75:10091127,33652674:199339,318942,0 $1,75:10091127,33652674 x1,75:10290466,33652674 $1,75:10290466,33652674 ) ) h1,75:10290466,33652674:0,0,0 x1,75:10808747,33652674 [1,75:10808747,33858186:311300,507307,0 (1,75:10808747,33350879:32768,0,54616 h1,75:10808747,33350879:0,0,0 h1,75:10808747,33350879:0,0,54616 h1,75:10808747,33350879:0,0,0 ) (1,75:10808747,33858186:311300,337875,0 h1,75:10808747,33858186:0,0,0 x1,75:11087279,33858186 ) ] x1,75:11658262,33652674 [1,75:11658262,33858186:311300,507307,0 (1,75:11658262,33350879:32768,0,54616 h1,75:11658262,33350879:0,0,0 h1,75:11658262,33350879:0,0,54616 h1,75:11658262,33350879:0,0,0 ) (1,75:11658262,33858186:311300,337875,0 h1,75:11658262,33858186:0,0,0 x1,75:11936794,33858186 ) ] ) g1,75:12129030,33652674 x1,75:12687180,33652674 g1,75:12846648,33652674 h1,75:12846648,33652674:0,0,0 (1,75:12846648,33652674:916959,498346,0 (1,75:12846648,33652674:199339,318942,0 (1,75:12846648,33652674:199339,318942,0 $1,75:12846648,33652674 x1,75:13045987,33652674 $1,75:13045987,33652674 ) ) h1,75:13045987,33652674:0,0,0 (1,75:13045987,33652674:717620,498346,0 x1,75:13763607,33652674 ) ) ) ) $1,75:13763607,33652674 ) ) g1,75:13763607,33652674 (1,75:13763607,33652674:7175566,623900,267389 (1,75:13763607,33652674:5381521,498346,205512 $1,75:13763607,33652674 (1,75:13763607,33652674:5381521,498346,205512 h1,75:13763607,33652674:0,0,0 (1,75:13763607,33652674:3946279,498346,205512 (1,75:13763607,33652674:1549563,478561,0 h1,75:13763607,33652674:0,0,0 g1,75:13962942,33652674 (1,75:13962942,33652674:1150893,478561,0 [1,75:13962942,33652674:1150893,478561,0 (1,75:13962942,33245875:1150893,0,0 k1,75:14133156,33245875:170214 g1,75:14850777,33245875 k1,75:15113835,33245875:170214 ) (1,75:13962942,33652674:1150893,263276,0 $1,75:13962942,33652674 (1,75:13962942,33652674:558150,0,0 (1,75:13962942,33652674:558150,0,0 $1,75:13962942,33652674 (1,75:13962942,33652674:558150,418611,59802 x1,75:14521092,33652674 ) $1,75:14521092,33652674 ) ) (1,75:14259317,33652674:398682,0,0 $1,75:14259317,33652674 (1,75:14179583,33652674:558150,0,0 (1,75:14179583,33652674:558150,0,0 $1,75:14179583,33652674 (1,75:14179583,33652674:558150,418611,59802 x1,75:14737733,33652674 ) $1,75:14737733,33652674 ) ) $1,75:14657999,33652674 ) x1,75:15113835,33652674 $1,75:15113835,33652674 ) ] ) g1,75:15313170,33652674 h1,75:15313170,33652674:0,0,0 ) (1,75:15313170,33652674:2396716,498346,205512 x1,75:15831451,33652674 [1,75:15831451,33858186:311300,507307,0 (1,75:15831451,33350879:32768,0,54616 h1,75:15831451,33350879:0,0,0 h1,75:15831451,33350879:0,0,54616 h1,75:15831451,33350879:0,0,0 ) (1,75:15831451,33858186:311300,337875,0 h1,75:15831451,33858186:0,0,0 x1,75:16109983,33858186 ) ] x1,75:16680966,33652674 [1,75:16680966,33858186:311300,507307,0 (1,75:16680966,33350879:32768,0,54616 h1,75:16680966,33350879:0,0,0 h1,75:16680966,33350879:0,0,54616 h1,75:16680966,33350879:0,0,0 ) (1,75:16680966,33858186:311300,337875,0 h1,75:16680966,33858186:0,0,0 x1,75:16959498,33858186 ) ] (1,75:16992266,33652674:717620,498346,0 x1,75:17709886,33652674 ) ) ) g1,75:19145128,33652674 ) $1,75:19145128,33652674 ) k1,75:20939173,33652674:1794045 ) g1,75:21594533,33652674 (1,75:21594533,33652674:13192248,623900,267389 k1,75:29837195,33652674:8242662 (1,75:29837195,33652674:0,623900,267389 [1,75:29837195,33652674:0,623900,267389 (1,21:29837195,33652674:0,623900,267389 r1,75:29837195,33652674:0,891289,267389 ) ] ) (1,75:29837195,33652674:4949586,498346,139537 $1,75:29837195,33652674 (1,75:29837195,33652674:4949586,498346,139537 (1,75:29837195,33652674:4949586,498346,139537 x1,75:31631246,33652674 g1,75:31870452,33652674 x1,75:34786781,33652674 ) ) $1,75:34786781,33652674 ) ) g1,75:34786781,33652674 (1,75:34786781,33652674:0,623900,267389 (1,75:34786781,33652674:0,0,0 $1,75:34786781,33652674 h1,75:34786781,33652674:0,0,0 $1,75:34786781,33652674 ) g1,75:34786781,33652674 ) g1,75:35442141,33652674 (1,75:35442141,33652674:-655360,623900,267389 ) g1,75:34786781,33652674 ) (1,75:9979166,34740572:24807615,623900,267389 g1,75:9979166,34740572 (1,75:9979166,34740572:3784441,623900,267389 k1,75:12368237,34740572:2389071 (1,75:12368237,34740572:0,623900,267389 [1,75:12368237,34740572:0,623900,267389 (1,21:12368237,34740572:0,623900,267389 r1,75:12368237,34740572:0,891289,267389 ) ] ) (1,75:12368237,34740572:1395370,498346,0 $1,75:12368237,34740572 (1,75:12368237,34740572:1395370,498346,0 h1,75:12368237,34740572:0,0,0 (1,75:12368237,34740572:1395370,498346,0 (1,75:12368237,34740572:478411,462465,0 x1,75:12727047,34740572 g1,75:12846648,34740572 ) (1,75:12846648,34740572:199339,318942,0 (1,75:12846648,34740572:199339,318942,0 $1,75:12846648,34740572 x1,75:13045987,34740572 $1,75:13045987,34740572 ) ) h1,75:13045987,34740572:0,0,0 (1,75:13045987,34740572:717620,498346,0 x1,75:13763607,34740572 ) ) ) $1,75:13763607,34740572 ) ) g1,75:13763607,34740572 (1,75:13763607,34740572:7175566,623900,267389 (1,75:13763607,34740572:2578483,498346,205512 $1,75:13763607,34740572 (1,75:13763607,34740572:2578483,498346,205512 h1,75:13763607,34740572:0,0,0 (1,75:13763607,34740572:2578483,498346,205512 (1,75:13763607,34740572:1549563,478561,0 h1,75:13763607,34740572:0,0,0 g1,75:13962942,34740572 (1,75:13962942,34740572:1150893,478561,0 [1,75:13962942,34740572:1150893,478561,0 (1,75:13962942,34333773:1150893,0,0 k1,75:14133156,34333773:170214 g1,75:14850777,34333773 k1,75:15113835,34333773:170214 ) (1,75:13962942,34740572:1150893,263276,0 $1,75:13962942,34740572 (1,75:13962942,34740572:558150,0,0 (1,75:13962942,34740572:558150,0,0 $1,75:13962942,34740572 (1,75:13962942,34740572:558150,418611,59802 x1,75:14521092,34740572 ) $1,75:14521092,34740572 ) ) (1,75:14259317,34740572:398682,0,0 $1,75:14259317,34740572 (1,75:14179583,34740572:558150,0,0 (1,75:14179583,34740572:558150,0,0 $1,75:14179583,34740572 (1,75:14179583,34740572:558150,418611,59802 x1,75:14737733,34740572 ) $1,75:14737733,34740572 ) ) $1,75:14657999,34740572 ) x1,75:15113835,34740572 $1,75:15113835,34740572 ) ] ) g1,75:15313170,34740572 h1,75:15313170,34740572:0,0,0 ) (1,75:15313170,34740572:1028920,498346,205512 (1,75:15313170,34740572:717620,498346,0 x1,75:16030790,34740572 ) [1,75:16030790,34946084:311300,507307,0 (1,75:16030790,34438777:32768,0,54616 h1,75:16030790,34438777:0,0,0 h1,75:16030790,34438777:0,0,54616 h1,75:16030790,34438777:0,0,0 ) (1,75:16030790,34946084:311300,337875,0 h1,75:16030790,34946084:0,0,0 x1,75:16309322,34946084 ) ] ) ) ) $1,75:16342090,34740572 ) k1,75:20939173,34740572:4597083 ) g1,75:21594533,34740572 (1,75:21594533,34740572:13192248,623900,267389 k1,75:34786781,34740572:13192248 (1,75:34786781,34740572:0,623900,267389 [1,75:34786781,34740572:0,623900,267389 (1,21:34786781,34740572:0,623900,267389 r1,75:34786781,34740572:0,891289,267389 ) ] ) (1,75:34786781,34740572:0,0,0 $1,75:34786781,34740572 h1,75:34786781,34740572:0,0,0 $1,75:34786781,34740572 ) ) g1,75:34786781,34740572 (1,75:34786781,34740572:0,623900,267389 (1,75:34786781,34740572:0,0,0 $1,75:34786781,34740572 h1,75:34786781,34740572:0,0,0 $1,75:34786781,34740572 ) g1,75:34786781,34740572 ) g1,75:35442141,34740572 (1,75:35442141,34740572:-655360,623900,267389 ) g1,75:34786781,34740572 ) (1,75:9979166,35828470:24807615,623900,267389 g1,75:9979166,35828470 (1,75:9979166,35828470:3784441,623900,267389 k1,75:11406761,35828470:1427595 (1,75:11406761,35828470:0,623900,267389 [1,75:11406761,35828470:0,623900,267389 (1,21:11406761,35828470:0,623900,267389 r1,75:11406761,35828470:0,891289,267389 ) ] ) (1,75:11406761,35828470:2356846,490372,205512 $1,75:11406761,35828470 (1,75:11406761,35828470:2356846,490372,205512 h1,75:11406761,35828470:0,0,0 (1,75:11406761,35828470:2356846,490372,205512 (1,75:11406761,35828470:478411,462465,0 x1,75:11765571,35828470 g1,75:11885172,35828470 ) (1,75:11885172,35828470:199339,318942,0 (1,75:11885172,35828470:199339,318942,0 $1,75:11885172,35828470 x1,75:12084511,35828470 $1,75:12084511,35828470 ) ) h1,75:12084511,35828470:0,0,0 x1,75:12602792,35828470 [1,75:12602792,36033982:311300,507307,0 (1,75:12602792,35526675:32768,0,54616 h1,75:12602792,35526675:0,0,0 h1,75:12602792,35526675:0,0,54616 h1,75:12602792,35526675:0,0,0 ) (1,75:12602792,36033982:311300,337875,0 h1,75:12602792,36033982:0,0,0 x1,75:12881324,36033982 ) ] x1,75:13452307,35828470 [1,75:13452307,36033982:311300,507307,0 (1,75:13452307,35526675:32768,0,54616 h1,75:13452307,35526675:0,0,0 h1,75:13452307,35526675:0,0,54616 h1,75:13452307,35526675:0,0,0 ) (1,75:13452307,36033982:311300,337875,0 h1,75:13452307,36033982:0,0,0 x1,75:13730839,36033982 ) ] ) ) $1,75:13763607,35828470 ) ) g1,75:13763607,35828470 (1,75:13763607,35828470:7175566,623900,267389 (1,75:13763607,35828470:3507191,490372,205512 $1,75:13763607,35828470 (1,75:13763607,35828470:3507191,490372,205512 h1,75:13763607,35828470:0,0,0 (1,75:13763607,35828470:3507191,490372,205512 (1,75:13763607,35828470:1549563,478561,0 h1,75:13763607,35828470:0,0,0 g1,75:13962942,35828470 (1,75:13962942,35828470:1150893,478561,0 [1,75:13962942,35828470:1150893,478561,0 (1,75:13962942,35421671:1150893,0,0 k1,75:14133156,35421671:170214 g1,75:14850777,35421671 k1,75:15113835,35421671:170214 ) (1,75:13962942,35828470:1150893,263276,0 $1,75:13962942,35828470 (1,75:13962942,35828470:558150,0,0 (1,75:13962942,35828470:558150,0,0 $1,75:13962942,35828470 (1,75:13962942,35828470:558150,418611,59802 x1,75:14521092,35828470 ) $1,75:14521092,35828470 ) ) (1,75:14259317,35828470:398682,0,0 $1,75:14259317,35828470 (1,75:14179583,35828470:558150,0,0 (1,75:14179583,35828470:558150,0,0 $1,75:14179583,35828470 (1,75:14179583,35828470:558150,418611,59802 x1,75:14737733,35828470 ) $1,75:14737733,35828470 ) ) $1,75:14657999,35828470 ) x1,75:15113835,35828470 $1,75:15113835,35828470 ) ] ) g1,75:15313170,35828470 h1,75:15313170,35828470:0,0,0 ) (1,75:15313170,35828470:1957628,490372,205512 x1,75:15831451,35828470 [1,75:15831451,36033982:311300,507307,0 (1,75:15831451,35526675:32768,0,54616 h1,75:15831451,35526675:0,0,0 h1,75:15831451,35526675:0,0,54616 h1,75:15831451,35526675:0,0,0 ) (1,75:15831451,36033982:311300,337875,0 h1,75:15831451,36033982:0,0,0 x1,75:16109983,36033982 ) ] x1,75:16680966,35828470 [1,75:16680966,36033982:589832,507307,0 (1,75:16680966,35526675:32768,0,54616 h1,75:16680966,35526675:0,0,0 h1,75:16680966,35526675:0,0,54616 h1,75:16680966,35526675:0,0,0 ) (1,75:16680966,36033982:589832,337875,0 h1,75:16680966,36033982:0,0,0 (1,75:16680966,36033982:557064,337875,0 x1,75:17238030,36033982 ) ) ] ) ) ) $1,75:17270798,35828470 ) k1,75:20939173,35828470:3668375 ) g1,75:21594533,35828470 (1,75:21594533,35828470:13192248,623900,267389 g1,75:21594533,35828470 (1,75:21594533,35828470:0,623900,267389 [1,75:21594533,35828470:0,623900,267389 (1,21:21594533,35828470:0,623900,267389 r1,75:21594533,35828470:0,891289,267389 ) ] ) (1,75:21594533,35828470:13192248,498346,139537 $1,75:21594533,35828470 (1,75:21594533,35828470:13192248,498346,139537 (1,75:21594533,35828470:13192248,498346,139537 x1,75:24510862,35828470 g1,75:24750068,35828470 x1,75:25128813,35828470 x1,75:26225176,35828470 g1,75:26464382,35828470 x1,75:27979358,35828470 x1,75:28358101,35828470 x1,75:29556129,35828470 x1,75:30273749,35828470 g1,75:30512955,35828470 x1,75:31952182,35828470 g1,75:32191388,35828470 x1,75:34786781,35828470 ) ) $1,75:34786781,35828470 ) ) g1,75:34786781,35828470 (1,75:34786781,35828470:0,623900,267389 (1,75:34786781,35828470:0,0,0 $1,75:34786781,35828470 h1,75:34786781,35828470:0,0,0 $1,75:34786781,35828470 ) g1,75:34786781,35828470 ) g1,75:35442141,35828470 (1,75:35442141,35828470:-655360,623900,267389 ) g1,75:34786781,35828470 ) [1,75:9979166,35828470:23592960,623900,267389 (1,75:9979166,35828470:23592960,623900,267389 (1,75:9979166,35828470:24807615,623900,267389 (1,75:9979166,35828470:0,623900,267389 [1,75:9979166,35828470:0,623900,267389 (1,21:9979166,35828470:0,623900,267389 r1,75:9979166,35828470:0,891289,267389 ) ] ) k1,75:34786781,35828470:24807615 ) g1,75:34786781,35828470 g1,75:34786781,35828470 ) ] (1,78:9979166,38059645:23592960,825750,353898 g1,78:12397441,38059645 r1,78:12397441,38059645:0,1179648,353898 (1,78:12397441,38059645:0,825750,353898 k1,78:9979166,38059645:-2418275 (1,78:9979166,38059645:2418275,825750,353898 x1,78:11335759,38059645 g1,78:12397441,38059645 r1,78:12397441,38059645:0,1179648,353898 g1,78:12397441,38059645 ) ) x1,78:15463869,38059645 g1,78:15817763,38059645 x1,78:18217908,38059645 r1,78:18217908,38059645:0,1179648,353898 k1,78:33572126,38059645:15354218 g1,78:33572126,38059645 ) (1,80:9979166,39741410:23592960,551690,179404 $1,79:9979166,39741410 (1,79:9979166,39741410:5072155,551690,121595 (1,79:9979166,39741410:550424,490372,0 (1,79:9979166,39741410:550424,490372,0 $1,79:9979166,39741410 x1,79:10524046,39741410 $1,79:10529590,39741410 ) ) (1,79:10529590,39741410:0,0,0 h1,79:10529590,39741410:0,0,0 ) (1,79:10529590,39741410:558150,418611,59802 x1,79:11087740,39741410 ) (1,79:11087740,39741410:0,0,0 h1,79:11087740,39741410:0,0,0 ) (1,79:11087740,39741410:1056496,490372,0 (1,79:11087740,39741410:1056496,490372,0 x1,79:12144236,39741410 ) ) (1,79:12144236,39741410:0,480404,0 (1,79:12144236,39741410:558150,480404,0 (1,79:12144236,39679617:558150,418611,59802 $1,79:12144236,39679617 x1,79:12702386,39679617 $1,79:12702386,39679617 ) ) k1,79:12144236,39741410:-558150 ) (1,79:12144236,39741410:558150,356818,121595 (1,79:12144236,39803203:558150,418611,59802 $1,79:12144236,39803203 x1,79:12702386,39803203 $1,79:12702386,39803203 ) ) (1,79:12702386,39741410:1056496,490372,0 (1,79:12702386,39741410:1056496,490372,0 x1,79:13758882,39741410 ) ) (1,79:13758882,39741410:558150,418611,59802 x1,79:14317032,39741410 ) (1,79:14317032,39741410:0,0,0 h1,79:14317032,39741410:0,0,0 ) (1,79:14317032,39741410:734289,551690,0 (1,79:14317032,39741410:734289,551690,0 $1,79:14317032,39741410 x1,79:14861912,39741410 (1,79:14867456,39480992:183865,291272,0 x1,79:15018553,39480992 ) $1,79:15051321,39741410 ) ) (1,79:15051321,39741410:0,0,0 h1,79:15051321,39741410:0,0,0 ) ) g1,79:15210789,39741410 x1,79:15768939,39741410 g1,79:15928407,39741410 h1,79:15928407,39741410:0,0,0 (1,79:15928407,39741410:1046530,490372,0 (1,79:15928407,39741410:1046530,490372,0 x1,79:16974937,39741410 ) ) (1,79:16974937,39741410:1549563,478561,0 h1,79:16974937,39741410:0,0,0 g1,79:17174272,39741410 (1,79:17174272,39741410:1150893,478561,0 [1,79:17174272,39741410:1150893,478561,0 (1,79:17174272,39334611:1150893,0,0 k1,79:17344486,39334611:170214 g1,79:18062107,39334611 k1,79:18325165,39334611:170214 ) (1,79:17174272,39741410:1150893,263276,0 $1,79:17174272,39741410 (1,79:17174272,39741410:558150,0,0 (1,79:17174272,39741410:558150,0,0 $1,79:17174272,39741410 (1,79:17174272,39741410:558150,418611,59802 x1,79:17732422,39741410 ) $1,79:17732422,39741410 ) ) (1,79:17470647,39741410:398682,0,0 $1,79:17470647,39741410 (1,79:17390913,39741410:558150,0,0 (1,79:17390913,39741410:558150,0,0 $1,79:17390913,39741410 (1,79:17390913,39741410:558150,418611,59802 x1,79:17949063,39741410 ) $1,79:17949063,39741410 ) ) $1,79:17869329,39741410 ) x1,79:18325165,39741410 $1,79:18325165,39741410 ) ] ) g1,79:18524500,39741410 h1,79:18524500,39741410:0,0,0 ) (1,79:18524500,39741410:6676835,551690,179404 (1,79:18524500,39741410:550424,490372,0 (1,79:18524500,39741410:550424,490372,0 $1,79:18524500,39741410 x1,79:19069380,39741410 $1,79:19074924,39741410 ) ) (1,79:19074924,39741410:0,0,0 h1,79:19074924,39741410:0,0,0 ) (1,79:19074924,39741410:1056496,490372,0 (1,79:19074924,39741410:1056496,490372,0 x1,79:20131420,39741410 ) ) (1,79:20131420,39741410:279075,538214,179404 (1,79:20131420,39741410:279075,538214,179404 x1,79:20410495,39741410 ) ) (1,79:20410495,39741410:538215,490372,0 (1,79:20410495,39741410:538215,490372,0 x1,79:20948710,39741410 ) ) (1,79:20948710,39741410:279075,538214,179404 (1,79:20948710,39741410:279075,538214,179404 x1,79:21227785,39741410 ) ) (1,79:21227785,39741410:0,0,0 h1,79:21227785,39741410:0,0,0 ) (1,79:21227785,39741410:558150,418611,59802 x1,79:21785935,39741410 ) (1,79:21785935,39741410:1056496,490372,0 (1,79:21785935,39741410:1056496,490372,0 x1,79:22842431,39741410 ) ) (1,79:22842431,39741410:279075,538214,179404 (1,79:22842431,39741410:279075,538214,179404 x1,79:23121506,39741410 ) ) (1,79:23121506,39741410:508315,490372,0 (1,79:23121506,39741410:508315,490372,0 x1,79:23629821,39741410 ) ) (1,79:23629821,39741410:279075,538214,179404 (1,79:23629821,39741410:279075,538214,179404 x1,79:23908896,39741410 ) ) (1,79:23908896,39741410:0,0,0 h1,79:23908896,39741410:0,0,0 ) (1,79:23908896,39741410:558150,418611,59802 x1,79:24467046,39741410 ) (1,79:24467046,39741410:0,0,0 h1,79:24467046,39741410:0,0,0 ) (1,79:24467046,39741410:734289,551690,0 (1,79:24467046,39741410:734289,551690,0 $1,79:24467046,39741410 x1,79:25011926,39741410 (1,79:25017470,39480992:183865,291272,0 x1,79:25168567,39480992 ) $1,79:25201335,39741410 ) ) (1,79:25201335,39741410:0,0,0 h1,79:25201335,39741410:0,0,0 ) ) $1,79:25201335,39741410 k1,80:33572126,39741410:8370791 g1,80:33572126,39741410 ) (1,81:9979166,41633196:23592960,642250,275254 g1,81:12682526,41633196 r1,81:12682526,41633196:0,917504,275254 (1,81:12682526,41633196:0,642250,275254 k1,81:9979166,41633196:-2703360 (1,81:9979166,41633196:2703360,642250,275254 x1,81:11797790,41633196 g1,81:12682526,41633196 r1,81:12682526,41633196:0,917504,275254 g1,81:12682526,41633196 ) ) x1,81:14682649,41633196 g1,81:14977561,41633196 x1,81:17822461,41633196 x1,81:19272445,41633196 r1,81:19272445,41633196:0,917504,275254 k1,81:33572126,41633196:14299681 g1,81:33572126,41633196 ) (1,84:9979166,42987947:23592960,551690,205512 $1,82:9979166,42987947 (1,82:9979166,42987947:3955855,551690,121595 (1,82:9979166,42987947:550424,490372,0 (1,82:9979166,42987947:550424,490372,0 $1,82:9979166,42987947 x1,82:10524046,42987947 $1,82:10529590,42987947 ) ) (1,82:10529590,42987947:0,0,0 h1,82:10529590,42987947:0,0,0 ) (1,82:10529590,42987947:1056496,490372,0 (1,82:10529590,42987947:1056496,490372,0 x1,82:11586086,42987947 ) ) (1,82:11586086,42987947:0,480404,0 (1,82:11586086,42987947:558150,480404,0 (1,82:11586086,42926154:558150,418611,59802 $1,82:11586086,42926154 x1,82:12144236,42926154 $1,82:12144236,42926154 ) ) k1,82:11586086,42987947:-558150 ) (1,82:11586086,42987947:558150,356818,121595 (1,82:11586086,43049740:558150,418611,59802 $1,82:11586086,43049740 x1,82:12144236,43049740 $1,82:12144236,43049740 ) ) (1,82:12144236,42987947:1056496,490372,0 (1,82:12144236,42987947:1056496,490372,0 x1,82:13200732,42987947 ) ) (1,82:13200732,42987947:734289,551690,0 (1,82:13200732,42987947:734289,551690,0 $1,82:13200732,42987947 x1,82:13745612,42987947 (1,82:13751156,42727529:183865,291272,0 x1,82:13902253,42727529 ) $1,82:13935021,42987947 ) ) (1,82:13935021,42987947:0,0,0 h1,82:13935021,42987947:0,0,0 ) ) k1,82:13969824,42987947:34803 x1,82:14527974,42987947 k1,82:14562777,42987947:34803 h1,82:14562777,42987947:0,0,0 (1,82:14562777,42987947:1255835,498346,0 (1,82:14562777,42987947:1255835,498346,0 x1,82:15818612,42987947 ) ) (1,82:15818612,42987947:1549563,478561,0 h1,82:15818612,42987947:0,0,0 g1,82:16017947,42987947 (1,82:16017947,42987947:1150893,478561,0 [1,82:16017947,42987947:1150893,478561,0 (1,82:16017947,42581148:1150893,0,0 k1,82:16188161,42581148:170214 g1,82:16905782,42581148 k1,82:17168840,42581148:170214 ) (1,82:16017947,42987947:1150893,263276,0 $1,82:16017947,42987947 (1,82:16017947,42987947:558150,0,0 (1,82:16017947,42987947:558150,0,0 $1,82:16017947,42987947 (1,82:16017947,42987947:558150,418611,59802 x1,82:16576097,42987947 ) $1,82:16576097,42987947 ) ) (1,82:16314322,42987947:398682,0,0 $1,82:16314322,42987947 (1,82:16234588,42987947:558150,0,0 (1,82:16234588,42987947:558150,0,0 $1,82:16234588,42987947 (1,82:16234588,42987947:558150,418611,59802 x1,82:16792738,42987947 ) $1,82:16792738,42987947 ) ) $1,82:16713004,42987947 ) x1,82:17168840,42987947 $1,82:17168840,42987947 ) ] ) g1,82:17368175,42987947 h1,82:17368175,42987947:0,0,0 ) (1,82:17368175,42987947:4984775,551690,205512 (1,82:17368175,42987947:550424,490372,0 (1,82:17368175,42987947:550424,490372,0 $1,82:17368175,42987947 x1,82:17913055,42987947 $1,82:17918599,42987947 ) ) (1,82:17918599,42987947:0,0,0 h1,82:17918599,42987947:0,0,0 ) (1,82:17918599,42987947:1056496,490372,0 (1,82:17918599,42987947:1056496,490372,0 x1,82:18975095,42987947 ) ) [1,82:18975095,43193459:311300,507307,0 (1,82:18975095,42686152:32768,0,54616 h1,82:18975095,42686152:0,0,0 h1,82:18975095,42686152:0,0,54616 (1,82:18975095,42686152:0,0,0 h1,82:18975095,42686152:0,0,0 ) ) (1,82:18975095,43193459:311300,337875,0 h1,82:18975095,43193459:0,0,0 (1,82:18975095,43193459:278532,337875,0 (1,82:18975095,43193459:278532,337875,0 x1,82:19253627,43193459 ) ) ) ] (1,82:19286395,42987947:558150,418611,59802 x1,82:19844545,42987947 ) (1,82:19844545,42987947:0,0,0 h1,82:19844545,42987947:0,0,0 ) (1,82:19844545,42987947:1774116,498346,0 (1,82:19844545,42987947:1774116,498346,0 x1,82:21618661,42987947 ) ) (1,82:21618661,42987947:734289,551690,0 (1,82:21618661,42987947:734289,551690,0 $1,82:21618661,42987947 x1,82:22163541,42987947 (1,82:22169085,42727529:183865,291272,0 x1,82:22320182,42727529 ) $1,82:22352950,42987947 ) ) (1,82:22352950,42987947:0,0,0 h1,82:22352950,42987947:0,0,0 ) ) $1,82:22352950,42987947 k1,82:22529823,42987947:176873 k1,82:23965065,42987947:1435242 x1,82:27094688,42987947 k1,82:27271560,42987947:176872 x1,82:29346679,42987947 k1,82:29523552,42987947:176873 x1,82:31199994,42987947 x1,82:31538869,42987947 x1,82:32874441,42987947 x1,82:33213316,42987947 x1,82:33572126,42987947 k1,83:33572126,42987947:0 k1,84:33572126,42987947:0 ) (1,84:9979166,43879237:23592960,498346,205512 x1,84:11254935,43879237 x1,83:12470902,43879237 g1,83:12789843,43879237 $1,83:12789843,43879237 (1,83:12789843,43879237:4908388,490372,205512 (1,83:12789843,43879237:1056496,490372,0 (1,83:12789843,43879237:1056496,490372,0 x1,83:13846339,43879237 ) ) [1,83:13846339,44084749:311300,507307,0 (1,83:13846339,43577442:32768,0,54616 h1,83:13846339,43577442:0,0,0 h1,83:13846339,43577442:0,0,54616 (1,83:13846339,43577442:0,0,0 h1,83:13846339,43577442:0,0,0 ) ) (1,83:13846339,44084749:311300,337875,0 h1,83:13846339,44084749:0,0,0 (1,83:13846339,44084749:278532,337875,0 (1,83:13846339,44084749:278532,337875,0 x1,83:14124871,44084749 ) ) ) ] (1,83:14157639,43879237:558150,418611,59802 x1,83:14715789,43879237 ) (1,83:14715789,43879237:0,0,0 h1,83:14715789,43879237:0,0,0 ) (1,83:14715789,43879237:1056496,490372,0 (1,83:14715789,43879237:1056496,490372,0 x1,83:15772285,43879237 ) ) (1,83:15772285,43879237:0,480404,0 (1,83:15772285,43879237:558150,480404,0 (1,83:15772285,43817444:558150,418611,59802 $1,83:15772285,43817444 x1,83:16330435,43817444 $1,83:16330435,43817444 ) ) k1,83:15772285,43879237:-558150 ) (1,83:15772285,43879237:558150,356818,121595 (1,83:15772285,43941030:558150,418611,59802 $1,83:15772285,43941030 x1,83:16330435,43941030 $1,83:16330435,43941030 ) ) (1,83:16330435,43879237:1056496,490372,0 (1,83:16330435,43879237:1056496,490372,0 x1,83:17386931,43879237 ) ) [1,83:17386931,44084749:311300,507307,0 (1,83:17386931,43577442:32768,0,54616 h1,83:17386931,43577442:0,0,0 h1,83:17386931,43577442:0,0,54616 (1,83:17386931,43577442:0,0,0 h1,83:17386931,43577442:0,0,0 ) ) (1,83:17386931,44084749:311300,337875,0 h1,83:17386931,44084749:0,0,0 (1,83:17386931,44084749:278532,337875,0 (1,83:17386931,44084749:278532,337875,0 x1,83:17665463,44084749 ) ) ) ] ) g1,83:17857699,43879237 x1,83:18415849,43879237 g1,83:18575317,43879237 h1,83:18575317,43879237:0,0,0 (1,83:18575317,43879237:1255835,498346,0 (1,83:18575317,43879237:1255835,498346,0 x1,83:19831152,43879237 ) ) (1,83:19831152,43879237:1549563,478561,0 h1,83:19831152,43879237:0,0,0 g1,83:20030487,43879237 (1,83:20030487,43879237:1150893,478561,0 [1,83:20030487,43879237:1150893,478561,0 (1,83:20030487,43472438:1150893,0,0 k1,83:20200701,43472438:170214 g1,83:20918322,43472438 k1,83:21181380,43472438:170214 ) (1,83:20030487,43879237:1150893,263276,0 $1,83:20030487,43879237 (1,83:20030487,43879237:558150,0,0 (1,83:20030487,43879237:558150,0,0 $1,83:20030487,43879237 (1,83:20030487,43879237:558150,418611,59802 x1,83:20588637,43879237 ) $1,83:20588637,43879237 ) ) (1,83:20326862,43879237:398682,0,0 $1,83:20326862,43879237 (1,83:20247128,43879237:558150,0,0 (1,83:20247128,43879237:558150,0,0 $1,83:20247128,43879237 (1,83:20247128,43879237:558150,418611,59802 x1,83:20805278,43879237 ) $1,83:20805278,43879237 ) ) $1,83:20725544,43879237 ) x1,83:21181380,43879237 $1,83:21181380,43879237 ) ] ) g1,83:21380715,43879237 h1,83:21380715,43879237:0,0,0 ) (1,83:21380715,43879237:5626008,498346,205512 (1,83:21380715,43879237:1056496,490372,0 (1,83:21380715,43879237:1056496,490372,0 x1,83:22437211,43879237 ) ) [1,83:22437211,44084749:311300,507307,0 (1,83:22437211,43577442:32768,0,54616 h1,83:22437211,43577442:0,0,0 h1,83:22437211,43577442:0,0,54616 (1,83:22437211,43577442:0,0,0 h1,83:22437211,43577442:0,0,0 ) ) (1,83:22437211,44084749:311300,337875,0 h1,83:22437211,44084749:0,0,0 (1,83:22437211,44084749:278532,337875,0 (1,83:22437211,44084749:278532,337875,0 x1,83:22715743,44084749 ) ) ) ] (1,83:22748511,43879237:558150,418611,59802 x1,83:23306661,43879237 ) (1,83:23306661,43879237:0,0,0 h1,83:23306661,43879237:0,0,0 ) (1,83:23306661,43879237:1774116,498346,0 (1,83:23306661,43879237:1774116,498346,0 x1,83:25080777,43879237 ) ) (1,83:25080777,43879237:558150,418611,59802 x1,83:25638927,43879237 ) (1,83:25638927,43879237:1056496,490372,0 (1,83:25638927,43879237:1056496,490372,0 x1,83:26695423,43879237 ) ) [1,83:26695423,44084749:311300,507307,0 (1,83:26695423,43577442:32768,0,54616 h1,83:26695423,43577442:0,0,0 h1,83:26695423,43577442:0,0,54616 (1,83:26695423,43577442:0,0,0 h1,83:26695423,43577442:0,0,0 ) ) (1,83:26695423,44084749:311300,337875,0 h1,83:26695423,44084749:0,0,0 (1,83:26695423,44084749:278532,337875,0 (1,83:26695423,44084749:278532,337875,0 x1,83:26973955,44084749 ) ) ) ] ) $1,83:27006723,43879237 k1,84:33572126,43879237:6565403 g1,84:33572126,43879237 ) ] (1,88:9979166,45679049:23592960,0,0 h1,88:9979166,45679049:23592960,0,0 ) ] ] !72125 }6 !10 {7 [1,115:4736286,45679049:25493504,40942763,0 [1,115:6636830,45679049:23592960,39369899,0 [1,115:6636830,7095582:23592960,786432,0 (1,115:6636830,7095582:23592960,490372,0 (1,115:6636830,7095582:23592960,490372,0 x1,115:7753128,7095582 g1,115:8072069,7095582 g1,115:8311275,7095582 x1,115:11082086,7095582 g1,115:11321292,7095582 x1,115:15168532,7095582 k1,115:29870980,7095582:14702448 x1,115:30229790,7095582 ) ) ] [1,115:6636830,43879237:23592960,35481206,0 (1,85:6636830,9118927:23592960,642250,275254 g1,85:9340190,9118927 r1,85:9340190,9118927:0,917504,275254 (1,85:9340190,9118927:0,642250,275254 k1,85:6636830,9118927:-2703360 (1,85:6636830,9118927:2703360,642250,275254 x1,85:8455454,9118927 g1,85:9340190,9118927 r1,85:9340190,9118927:0,917504,275254 g1,85:9340190,9118927 ) ) x1,85:11340313,9118927 g1,85:11635225,9118927 x1,85:14377270,9118927 x1,85:15827254,9118927 r1,85:15827254,9118927:0,917504,275254 k1,85:30229790,9118927:14402536 g1,85:30229790,9118927 ) (1,87:6636830,10863403:23592960,940225,231726 $1,86:6636830,10863403 (1,86:6636830,10863403:2681109,490372,121595 (1,86:6636830,10863403:1564809,490372,0 (1,86:6636830,10863403:1564809,490372,0 x1,86:7165078,10863403 x1,86:8201639,10863403 ) ) (1,86:8201639,10863403:0,480404,0 (1,86:8201639,10863403:558150,480404,0 (1,86:8201639,10801610:558150,418611,59802 $1,86:8201639,10801610 x1,86:8759789,10801610 $1,86:8759789,10801610 ) ) k1,86:8201639,10863403:-558150 ) (1,86:8201639,10863403:558150,356818,121595 (1,86:8201639,10925196:558150,418611,59802 $1,86:8201639,10925196 x1,86:8759789,10925196 $1,86:8759789,10925196 ) ) (1,86:8759789,10863403:558150,490372,0 (1,86:8759789,10863403:558150,490372,0 x1,86:9317939,10863403 ) ) ) g1,86:9477407,10863403 x1,86:10035557,10863403 g1,86:10195025,10863403 h1,86:10195025,10863403:0,0,0 (1,86:10195025,10863403:2468538,551690,139537 (1,86:10195025,10863403:734289,551690,0 (1,86:10195025,10863403:734289,551690,0 $1,86:10195025,10863403 x1,86:10739905,10863403 (1,86:10745449,10602985:183865,291272,0 x1,86:10896546,10602985 ) $1,86:10929314,10863403 ) ) (1,86:10929314,10863403:0,0,0 h1,86:10929314,10863403:0,0,0 ) (1,86:10929314,10863403:1734249,498346,139537 (1,86:10929314,10863403:1734249,498346,139537 x1,86:12663563,10863403 ) ) ) (1,86:12663563,10863403:1549563,478561,0 h1,86:12663563,10863403:0,0,0 g1,86:12862898,10863403 (1,86:12862898,10863403:1150893,478561,0 [1,86:12862898,10863403:1150893,478561,0 (1,86:12862898,10456604:1150893,0,0 k1,86:13033112,10456604:170214 g1,86:13750733,10456604 k1,86:14013791,10456604:170214 ) (1,86:12862898,10863403:1150893,263276,0 $1,86:12862898,10863403 (1,86:12862898,10863403:558150,0,0 (1,86:12862898,10863403:558150,0,0 $1,86:12862898,10863403 (1,86:12862898,10863403:558150,418611,59802 x1,86:13421048,10863403 ) $1,86:13421048,10863403 ) ) (1,86:13159273,10863403:398682,0,0 $1,86:13159273,10863403 (1,86:13079539,10863403:558150,0,0 (1,86:13079539,10863403:558150,0,0 $1,86:13079539,10863403 (1,86:13079539,10863403:558150,418611,59802 x1,86:13637689,10863403 ) $1,86:13637689,10863403 ) ) $1,86:13557955,10863403 ) x1,86:14013791,10863403 $1,86:14013791,10863403 ) ] ) g1,86:14213126,10863403 h1,86:14213126,10863403:0,0,0 ) (1,86:14213126,10863403:5149647,551690,179404 (1,86:14213126,10863403:1564809,490372,0 (1,86:14213126,10863403:1564809,490372,0 x1,86:14741374,10863403 x1,86:15777935,10863403 ) ) (1,86:15777935,10863403:279075,538214,179404 (1,86:15777935,10863403:279075,538214,179404 x1,86:16057010,10863403 ) ) (1,86:16057010,10863403:2292399,498346,139537 (1,86:16057010,10863403:2292399,498346,139537 x1,86:18349409,10863403 ) ) (1,86:18349409,10863403:279075,538214,179404 (1,86:18349409,10863403:279075,538214,179404 x1,86:18628484,10863403 ) ) (1,86:18628484,10863403:0,0,0 h1,86:18628484,10863403:0,0,0 ) (1,86:18628484,10863403:734289,551690,0 (1,86:18628484,10863403:734289,551690,0 $1,86:18628484,10863403 x1,86:19173364,10863403 (1,86:19178908,10602985:183865,291272,0 x1,86:19330005,10602985 ) $1,86:19362773,10863403 ) ) (1,86:19362773,10863403:0,0,0 h1,86:19362773,10863403:0,0,0 ) ) (1,86:19362773,10863403:1955529,940225,0 h1,86:19362773,10863403:0,0,0 g1,86:19562108,10863403 (1,86:19562108,10863403:1556859,940225,0 [1,86:19562108,10863403:1556859,940225,0 (1,86:19562108,10353203:1556859,358263,167189 k1,86:19732322,10353203:170214 (1,86:19732322,10353203:1123587,358263,167189 (1,86:19732322,10353203:417252,358263,0 (1,86:19732322,10353203:417252,358263,0 x1,86:20149574,10353203 ) ) [1,86:20149574,10520392:273063,402389,0 (1,86:20149574,10118003:32768,0,54612 h1,86:20149574,10118003:0,0,0 h1,86:20149574,10118003:0,0,54612 (1,86:20149574,10118003:0,0,0 h1,86:20149574,10118003:0,0,0 ) ) (1,86:20149574,10520392:273063,253405,0 h1,86:20149574,10520392:0,0,0 (1,86:20149574,10520392:240295,253405,0 (1,86:20149574,10520392:240295,253405,0 x1,86:20389869,10520392 ) ) ) ] (1,86:20422637,10353203:433272,358263,0 (1,86:20422637,10353203:433272,358263,0 x1,86:20855909,10353203 ) ) ) k1,86:21118967,10353203:170214 ) (1,86:19562108,10863403:1556859,263276,0 $1,86:19562108,10863403 (1,86:19562108,10863403:558150,0,0 (1,86:19562108,10863403:558150,0,0 $1,86:19562108,10863403 (1,86:19562108,10863403:558150,418611,59802 x1,86:20120258,10863403 ) $1,86:20120258,10863403 ) ) (1,86:19862125,10863403:398682,0,0 $1,86:19862125,10863403 (1,86:19782391,10863403:558150,0,0 (1,86:19782391,10863403:558150,0,0 $1,86:19782391,10863403 (1,86:19782391,10863403:558150,418611,59802 x1,86:20340541,10863403 ) $1,86:20340541,10863403 ) ) $1,86:20260807,10863403 ) (1,86:20260807,10863403:398682,0,0 (1,86:20181073,10863403:558150,0,0 (1,86:20181073,10863403:558150,0,0 (1,86:20181073,10863403:558150,418611,59802 x1,86:20739223,10863403 ) ) ) ) x1,86:21118967,10863403 $1,86:21118967,10863403 ) ] ) g1,86:21318302,10863403 h1,86:21318302,10863403:0,0,0 h1,86:21318302,10863403:0,0,0 ) (1,86:21318302,10863403:3935080,538214,231726 (1,86:21318302,10863403:1564809,490372,0 (1,86:21318302,10863403:1564809,490372,0 x1,86:21846550,10863403 x1,86:22883111,10863403 ) ) (1,86:22883111,10863403:279075,538214,179404 (1,86:22883111,10863403:279075,538214,179404 x1,86:23162186,10863403 ) ) (1,86:23162186,10863403:1096365,490372,0 (1,86:23162186,10863403:1096365,490372,0 x1,86:24258551,10863403 ) ) (1,86:24258551,10863403:279075,538214,179404 (1,86:24258551,10863403:279075,538214,179404 x1,86:24537626,10863403 ) ) (1,86:24537626,10863403:528248,490372,0 (1,86:24537626,10863403:528248,490372,0 x1,86:25065874,10863403 ) ) [1,86:25065874,11095129:187508,533521,0 (1,86:25065874,10561608:32768,0,54616 h1,86:25065874,10561608:0,0,0 h1,86:25065874,10561608:0,0,54616 (1,86:25065874,10561608:0,0,0 h1,86:25065874,10561608:0,0,0 ) ) (1,86:25065874,11095129:187508,364089,0 h1,86:25065874,11095129:0,0,0 (1,86:25065874,11095129:154740,364089,0 (1,86:25065874,11095129:154740,364089,0 x1,86:25220614,11095129 ) ) ) ] ) g1,86:25412850,10863403 x1,86:25971000,10863403 g1,86:26130468,10863403 h1,86:26130468,10863403:0,0,0 (1,86:26130468,10863403:2830614,498346,139537 (1,86:26130468,10863403:2830614,498346,139537 x1,86:28961082,10863403 ) ) $1,86:28961082,10863403 k1,87:30229790,10863403:1268708 g1,87:30229790,10863403 ) (1,88:6636830,12740590:23592960,642250,275254 g1,88:9340190,12740590 r1,88:9340190,12740590:0,917504,275254 (1,88:9340190,12740590:0,642250,275254 k1,88:6636830,12740590:-2703360 (1,88:6636830,12740590:2703360,642250,275254 x1,88:8455454,12740590 g1,88:9340190,12740590 r1,88:9340190,12740590:0,917504,275254 g1,88:9340190,12740590 ) ) x1,88:11340313,12740590 g1,88:11635225,12740590 x1,88:13929441,12740590 x1,88:14556129,12740590 g1,88:14851041,12740590 x1,88:17154268,12740590 r1,88:17154268,12740590:0,917504,275254 k1,88:30229790,12740590:13075522 g1,88:30229790,12740590 ) (1,90:6636830,14095341:23592960,498346,139537 x1,90:9826255,14095341 g1,89:10065461,14095341 x1,89:12140580,14095341 g1,89:12379786,14095341 x1,89:13137274,14095341 x1,89:13834959,14095341 x1,89:15511401,14095341 x1,89:15850276,14095341 x1,89:17185848,14095341 x1,89:17524723,14095341 x1,89:17883533,14095341 k1,90:30229790,14095341:12346257 g1,90:30229790,14095341 ) (1,91:6636830,16311916:23592960,825750,353898 g1,91:9055105,16311916 r1,91:9055105,16311916:0,1179648,353898 (1,91:9055105,16311916:0,825750,353898 k1,91:6636830,16311916:-2418275 (1,91:6636830,16311916:2418275,825750,353898 x1,91:7993423,16311916 g1,91:9055105,16311916 r1,91:9055105,16311916:0,1179648,353898 g1,91:9055105,16311916 ) ) x1,91:12121533,16311916 g1,91:12475427,16311916 x1,91:16761366,16311916 r1,91:16761366,16311916:0,1179648,353898 k1,91:30229790,16311916:13468424 g1,91:30229790,16311916 ) (1,93:6636830,17913847:23592960,498346,139537 x1,93:9048833,17913847 x1,92:9766453,17913847 k1,92:10009392,17913847:242939 x1,92:11247287,17913847 k1,92:11490227,17913847:242940 x1,92:13310192,17913847 k1,92:13553131,17913847:242939 x1,92:15191697,17913847 k1,92:15521839,17913847:330142 x1,92:17588984,17913847 k1,92:17831923,17913847:242939 x1,92:20586787,17913847 k1,92:20829727,17913847:242940 x1,92:21208472,17913847 x1,92:22304835,17913847 k1,92:22547774,17913847:242939 x1,92:24062750,17913847 x1,92:24441493,17913847 x1,92:25179047,17913847 x1,92:26215610,17913847 k1,92:26458550,17913847:242940 x1,92:27036634,17913847 x1,92:28392139,17913847 k1,92:28635078,17913847:242939 $1,92:28635078,17913847 (1,92:28635078,17913847:1594712,490372,121595 (1,92:28635078,17913847:518281,490372,0 (1,92:28635078,17913847:518281,490372,0 x1,92:29153359,17913847 ) ) (1,92:29153359,17913847:0,480404,0 (1,92:29153359,17913847:558150,480404,0 (1,92:29153359,17852054:558150,418611,59802 $1,92:29153359,17852054 x1,92:29711509,17852054 $1,92:29711509,17852054 ) ) k1,92:29153359,17913847:-558150 ) (1,92:29153359,17913847:558150,356818,121595 (1,92:29153359,17975640:558150,418611,59802 $1,92:29153359,17975640 x1,92:29711509,17975640 $1,92:29711509,17975640 ) ) (1,92:29711509,17913847:518281,490372,0 (1,92:29711509,17913847:518281,490372,0 x1,92:30229790,17913847 ) ) ) $1,92:30229790,17913847 k1,93:30229790,17913847:0 ) (1,93:6636830,18805137:23592960,542198,183389 x1,93:8032203,18805137 g1,92:8271409,18805137 $1,92:8271409,18805137 (1,92:8271409,18805137:1594712,542198,183389 (1,92:8271409,18805137:518281,490372,0 (1,92:8271409,18805137:518281,490372,0 x1,92:8789690,18805137 ) ) (1,92:8789690,18805137:558150,542198,183389 (1,92:8789690,18805137:0,542198,0 (1,92:8789690,18805137:558150,542198,0 (1,92:8789690,18681550:558150,418611,59802 $1,92:8789690,18681550 x1,92:9347840,18681550 $1,92:9347840,18681550 ) ) k1,92:8789690,18805137:-558150 ) (1,92:8789690,18805137:0,418611,59802 $1,92:8789690,18805137 x1,92:9347840,18805137 $1,92:9347840,18805137 k1,92:8789690,18805137:-558150 ) (1,92:8789690,18805137:558150,295024,183389 (1,92:8789690,18928724:558150,418611,59802 $1,92:8789690,18928724 x1,92:9347840,18928724 $1,92:9347840,18928724 ) ) ) (1,92:9347840,18805137:518281,490372,0 (1,92:9347840,18805137:518281,490372,0 x1,92:9866121,18805137 ) ) ) $1,92:9866121,18805137 g1,92:10105327,18805137 x1,92:11704025,18805137 g1,92:11943231,18805137 x1,92:12341909,18805137 x1,92:13340598,18805137 x1,92:14676168,18805137 x1,92:15975858,18805137 g1,92:16215064,18805137 x1,92:18447660,18805137 g1,92:18686866,18805137 x1,92:20506831,18805137 g1,92:20746037,18805137 x1,92:23748082,18805137 g1,92:23987288,18805137 x1,92:24385966,18805137 x1,92:26020545,18805137 x1,92:27395985,18805137 k1,93:30229790,18805137:2833805 g1,93:30229790,18805137 ) (1,94:6636830,21021711:23592960,825750,353898 g1,94:9055105,21021711 r1,94:9055105,21021711:0,1179648,353898 (1,94:9055105,21021711:0,825750,353898 k1,94:6636830,21021711:-2418275 (1,94:6636830,21021711:2418275,825750,353898 x1,94:7993423,21021711 g1,94:9055105,21021711 r1,94:9055105,21021711:0,1179648,353898 g1,94:9055105,21021711 ) ) x1,94:12121533,21021711 g1,94:12475427,21021711 x1,94:15851513,21021711 r1,94:15851513,21021711:0,1179648,353898 k1,94:30229790,21021711:14378277 g1,94:30229790,21021711 ) (1,96:6636830,22623642:23592960,498346,205512 x1,96:7753128,22623642 x1,95:8111938,22623642 x1,95:8450813,22623642 x1,95:8949159,22623642 x1,95:9288034,22623642 k1,95:9447505,22623642:159471 x1,95:9826250,22623642 x1,95:10426260,22623642 x1,95:10824938,22623642 x1,95:11602360,22623642 k1,95:11761831,22623642:159471 x1,95:12359848,22623642 x1,95:12959858,22623642 x1,95:13697413,22623642 x1,95:14179813,22623642 x1,95:14558558,22623642 x1,95:15276178,22623642 k1,95:15435649,22623642:159471 x1,95:16153269,22623642 x1,95:17668245,22623642 k1,95:17827716,22623642:159471 x1,95:18565271,22623642 x1,95:19047671,22623642 x1,95:19805159,22623642 x1,95:20724112,22623642 k1,95:20883583,22623642:159471 x1,95:22278956,22623642 k1,95:22438427,22623642:159471 x1,95:23038437,22623642 x1,95:24214538,22623642 x1,95:25332830,22623642 k1,95:25508248,22623642:175418 x1,95:26110251,22623642 x1,95:26508929,22623642 x1,95:27128873,22623642 x1,95:27607287,22623642 k1,95:27766758,22623642:159471 $1,95:27766758,22623642 (1,95:27766758,22623642:2484097,490372,205512 (1,95:27766758,22623642:2172797,490372,0 (1,95:27766758,22623642:2172797,490372,0 x1,95:29939555,22623642 ) ) [1,95:29939555,22829154:311300,507307,0 (1,95:29939555,22321847:32768,0,54616 h1,95:29939555,22321847:0,0,0 h1,95:29939555,22321847:0,0,54616 (1,95:29939555,22321847:0,0,0 h1,95:29939555,22321847:0,0,0 ) ) (1,95:29939555,22829154:311300,337875,0 h1,95:29939555,22829154:0,0,0 (1,95:29939555,22829154:278532,337875,0 (1,95:29939555,22829154:278532,337875,0 x1,95:30218087,22829154 ) ) ) ] ) $1,95:30250855,22623642 x1,95:30450194,22623642 k1,95:30450194,22623642:0 ) (1,96:6636830,23514932:23592960,498346,205512 (1,95:6636830,23514932:2849549,490372,205512 (1,95:6636830,23514932:558150,490372,0 (1,95:6636830,23514932:558150,490372,0 x1,95:7194980,23514932 ) ) [1,95:7194980,23720444:311300,507307,0 (1,95:7194980,23213137:32768,0,54616 h1,95:7194980,23213137:0,0,0 h1,95:7194980,23213137:0,0,54616 (1,95:7194980,23213137:0,0,0 h1,95:7194980,23213137:0,0,0 ) ) (1,95:7194980,23720444:311300,337875,0 h1,95:7194980,23720444:0,0,0 (1,95:7194980,23720444:278532,337875,0 (1,95:7194980,23720444:278532,337875,0 x1,95:7473512,23720444 ) ) ) ] (1,95:7506280,23514932:799349,490372,0 (1,95:7506280,23514932:799349,490372,0 x1,95:8305629,23514932 ) ) [1,95:8305629,23720444:311300,507307,0 (1,95:8305629,23213137:32768,0,54616 h1,95:8305629,23213137:0,0,0 h1,95:8305629,23213137:0,0,54616 (1,95:8305629,23213137:0,0,0 h1,95:8305629,23213137:0,0,0 ) ) (1,95:8305629,23720444:311300,337875,0 h1,95:8305629,23720444:0,0,0 (1,95:8305629,23720444:278532,337875,0 (1,95:8305629,23720444:278532,337875,0 x1,95:8584161,23720444 ) ) ) ] (1,95:8616929,23514932:558150,490372,0 (1,95:8616929,23514932:558150,490372,0 x1,95:9175079,23514932 ) ) [1,95:9175079,23720444:311300,507307,0 (1,95:9175079,23213137:32768,0,54616 h1,95:9175079,23213137:0,0,0 h1,95:9175079,23213137:0,0,54616 (1,95:9175079,23213137:0,0,0 h1,95:9175079,23213137:0,0,0 ) ) (1,95:9175079,23720444:311300,337875,0 h1,95:9175079,23720444:0,0,0 (1,95:9175079,23720444:278532,337875,0 (1,95:9175079,23720444:278532,337875,0 x1,95:9453611,23720444 ) ) ) ] ) $1,95:9486379,23514932 x1,95:9685718,23514932 g1,95:9924924,23514932 x1,95:10323602,23514932 x1,95:10943546,23514932 x1,95:11262488,23514932 x1,95:12000043,23514932 x1,95:12482443,23514932 g1,95:12721649,23514932 x1,95:13190096,23514932 x1,95:13847913,23514932 x1,95:15203418,23514932 g1,95:15442624,23514932 x1,95:16269881,23514932 x1,95:16987501,23514932 x1,95:17585518,23514932 x1,95:18382874,23514932 g1,95:18622080,23514932 x1,95:19618775,23514932 k1,96:30229790,23514932:10611015 g1,96:30229790,23514932 ) (1,97:6636830,25392119:23592960,642250,275254 g1,97:9340190,25392119 r1,97:9340190,25392119:0,917504,275254 (1,97:9340190,25392119:0,642250,275254 k1,97:6636830,25392119:-2703360 (1,97:6636830,25392119:2703360,642250,275254 x1,97:8455454,25392119 g1,97:9340190,25392119 r1,97:9340190,25392119:0,917504,275254 g1,97:9340190,25392119 ) ) x1,97:12582675,25392119 r1,97:12582675,25392119:0,917504,275254 k1,97:30229790,25392119:17647115 g1,97:30229790,25392119 ) (1,98:6636830,26820270:23592960,623900,267389 r1,98:6636830,26820270:0,891289,267389 x1,98:9378715,26820270 g1,98:9653800,26820270 x1,98:10217925,26820270 x1,98:14261682,26820270 g1,98:14536767,26820270 x1,98:16958716,26820270 r1,98:16958716,26820270:0,891289,267389 k1,98:30229790,26820270:13271074 g1,98:30229790,26820270 ) (1,100:6636830,28175021:23592960,620716,179404 x1,100:7976388,28175021 g1,99:8295329,28175021 x1,99:8694007,28175021 x1,99:10852848,28175021 g1,99:11092054,28175021 x1,99:11560501,28175021 x1,99:13374484,28175021 g1,99:13613690,28175021 x1,99:13892765,28175021 $1,99:13892765,28175021 (1,99:13892765,28175021:1754394,620716,177407 (1,99:13892765,28175021:916959,490372,0 (1,99:13892765,28175021:916959,490372,0 x1,99:14809724,28175021 ) ) [1,99:14809724,28352428:837435,798123,0 (1,99:14809724,27892180:837435,337875,54616 h1,99:14809724,27892180:0,0,0 h1,99:14809724,27892180:0,0,54616 (1,99:14809724,27892180:804667,337875,54616 (1,99:14809724,27892180:804667,337875,54616 x1,99:15614391,27892180 ) ) ) (1,99:14809724,28352428:32768,0,0 h1,99:14809724,28352428:0,0,0 (1,99:14809724,28352428:0,0,0 h1,99:14809724,28352428:0,0,0 ) ) ] ) $1,99:15647159,28175021 x1,99:16125573,28175021 g1,99:16364779,28175021 x1,99:19305029,28175021 g1,99:19544235,28175021 x1,99:20062516,28175021 x1,99:21760882,28175021 g1,99:22000088,28175021 x1,99:22279163,28175021 $1,99:22279163,28175021 (1,99:22279163,28175021:1363065,599601,177407 (1,99:22279163,28175021:897025,490372,139537 (1,99:22279163,28175021:897025,490372,139537 x1,99:23176188,28175021 ) ) [1,99:23176188,28352428:466040,777008,0 (1,99:23176188,27892180:466040,316760,54616 h1,99:23176188,27892180:0,0,0 h1,99:23176188,27892180:0,0,54616 (1,99:23176188,27892180:433272,316760,54616 (1,99:23176188,27892180:433272,316760,54616 x1,99:23609460,27892180 ) ) ) (1,99:23176188,28352428:32768,0,0 h1,99:23176188,28352428:0,0,0 (1,99:23176188,28352428:0,0,0 h1,99:23176188,28352428:0,0,0 ) ) ] ) $1,99:23642228,28175021 x1,99:24120642,28175021 k1,100:30229790,28175021:6109148 g1,100:30229790,28175021 ) (1,102:6636830,29504148:23592960,623900,267389 g1,102:12639136,29504148 (1,102:12639136,29504148:11588347,623900,267389 g1,102:12639136,29504148 (1,102:12639136,29504148:0,623900,267389 [1,102:12639136,29504148:0,623900,267389 (1,21:12639136,29504148:0,623900,267389 r1,102:12639136,29504148:0,891289,267389 ) ] ) (1,102:12639136,29504148:11588347,613059,177407 $1,102:12639136,29504148 (1,102:12639136,29504148:11588347,613059,177407 h1,102:12639136,29504148:0,0,0 (1,102:12639136,29504148:11588347,613059,177407 (1,102:12639136,29504148:2723220,490372,59802 (1,102:12639136,29504148:550424,490372,0 (1,102:12639136,29504148:550424,490372,0 $1,102:12639136,29504148 x1,102:13184016,29504148 $1,102:13189560,29504148 ) ) h1,102:13189560,29504148:0,0,0 (1,102:13189560,29504148:558150,418611,59802 x1,102:13747710,29504148 ) h1,102:13747710,29504148:0,0,0 (1,102:13747710,29504148:1614646,490372,0 x1,102:15362356,29504148 ) ) g1,102:15521824,29504148 x1,102:16079974,29504148 g1,102:16239442,29504148 h1,102:16239442,29504148:0,0,0 (1,102:16239442,29504148:1373031,613059,177407 (1,102:16239442,29504148:906991,490372,139537 x1,102:17136467,29504148 ) [1,102:17146433,29681555:466040,790466,0 (1,102:17146433,29207849:466040,316760,54616 h1,102:17146433,29207849:0,0,0 h1,102:17146433,29207849:0,0,54616 (1,102:17146433,29207849:433272,316760,54616 x1,102:17579705,29207849 ) ) (1,102:17146433,29681555:32768,0,0 h1,102:17146433,29681555:0,0,0 h1,102:17146433,29681555:0,0,0 ) ] ) (1,102:17612473,29504148:1549563,478561,0 h1,102:17612473,29504148:0,0,0 g1,102:17811808,29504148 (1,102:17811808,29504148:1150893,478561,0 [1,102:17811808,29504148:1150893,478561,0 (1,102:17811808,29097349:1150893,0,0 k1,102:17982022,29097349:170214 g1,102:18699643,29097349 k1,102:18962701,29097349:170214 ) (1,102:17811808,29504148:1150893,263276,0 $1,102:17811808,29504148 (1,102:17811808,29504148:558150,0,0 (1,102:17811808,29504148:558150,0,0 $1,102:17811808,29504148 (1,102:17811808,29504148:558150,418611,59802 x1,102:18369958,29504148 ) $1,102:18369958,29504148 ) ) (1,102:18108183,29504148:398682,0,0 $1,102:18108183,29504148 (1,102:18028449,29504148:558150,0,0 (1,102:18028449,29504148:558150,0,0 $1,102:18028449,29504148 (1,102:18028449,29504148:558150,418611,59802 x1,102:18586599,29504148 ) $1,102:18586599,29504148 ) ) $1,102:18506865,29504148 ) x1,102:18962701,29504148 $1,102:18962701,29504148 ) ] ) g1,102:19162036,29504148 h1,102:19162036,29504148:0,0,0 ) (1,102:19162036,29504148:3281370,490372,59802 (1,102:19162036,29504148:550424,490372,0 (1,102:19162036,29504148:550424,490372,0 $1,102:19162036,29504148 x1,102:19706916,29504148 $1,102:19712460,29504148 ) ) h1,102:19712460,29504148:0,0,0 (1,102:19712460,29504148:558150,418611,59802 x1,102:20270610,29504148 ) h1,102:20270610,29504148:0,0,0 (1,102:20270610,29504148:2172796,490372,0 x1,102:22443406,29504148 ) ) g1,102:22602874,29504148 x1,102:23161024,29504148 g1,102:23320492,29504148 h1,102:23320492,29504148:0,0,0 (1,102:23320492,29504148:906991,490372,139537 x1,102:24217517,29504148 ) ) ) $1,102:24227483,29504148 ) ) g1,102:24227483,29504148 (1,102:24227483,29504148:0,623900,267389 (1,102:24227483,29504148:0,0,0 $1,102:24227483,29504148 h1,102:24227483,29504148:0,0,0 $1,102:24227483,29504148 ) g1,102:24227483,29504148 ) g1,102:30229789,29504148 (1,102:30229789,29504148:1,623900,267389 (1,102:30229790,29504148:0,623900,267389 k1,102:28754681,29504148:-1475109 (1,102:28754681,29504148:1475109,623900,267389 (1,102:28754681,29504148:0,623900,267389 [1,102:28754681,29504148:0,623900,267389 (1,21:28754681,29504148:0,623900,267389 r1,102:28754681,29504148:0,891289,267389 ) ] ) (1,102:28754681,29504148:1475109,538214,179404 x1,102:29950715,29504148 x1,102:30229790,29504148 ) ) ) ) g1,102:30229790,29504148 ) (1,104:6636830,31355120:23592960,623900,267389 r1,104:6636830,31355120:0,891289,267389 x1,104:9378715,31355120 g1,104:9653800,31355120 x1,104:10217925,31355120 x1,104:14261682,31355120 g1,104:14536767,31355120 x1,104:17104232,31355120 r1,104:17104232,31355120:0,891289,267389 k1,104:30229790,31355120:13125558 g1,104:30229790,31355120 ) (1,107:6636830,32709871:23592960,490372,205512 x1,107:7976388,32709871 g1,105:8295329,32709871 $1,105:8295329,32709871 (1,105:8295329,32709871:2849549,490372,205512 (1,105:8295329,32709871:558150,490372,0 (1,105:8295329,32709871:558150,490372,0 x1,105:8853479,32709871 ) ) [1,105:8853479,32915383:311300,507307,0 (1,105:8853479,32408076:32768,0,54616 h1,105:8853479,32408076:0,0,0 h1,105:8853479,32408076:0,0,54616 (1,105:8853479,32408076:0,0,0 h1,105:8853479,32408076:0,0,0 ) ) (1,105:8853479,32915383:311300,337875,0 h1,105:8853479,32915383:0,0,0 (1,105:8853479,32915383:278532,337875,0 (1,105:8853479,32915383:278532,337875,0 x1,105:9132011,32915383 ) ) ) ] (1,105:9164779,32709871:799349,490372,0 (1,105:9164779,32709871:799349,490372,0 x1,105:9964128,32709871 ) ) [1,105:9964128,32915383:311300,507307,0 (1,105:9964128,32408076:32768,0,54616 h1,105:9964128,32408076:0,0,0 h1,105:9964128,32408076:0,0,54616 (1,105:9964128,32408076:0,0,0 h1,105:9964128,32408076:0,0,0 ) ) (1,105:9964128,32915383:311300,337875,0 h1,105:9964128,32915383:0,0,0 (1,105:9964128,32915383:278532,337875,0 (1,105:9964128,32915383:278532,337875,0 x1,105:10242660,32915383 ) ) ) ] (1,105:10275428,32709871:558150,490372,0 (1,105:10275428,32709871:558150,490372,0 x1,105:10833578,32709871 ) ) [1,105:10833578,32915383:311300,507307,0 (1,105:10833578,32408076:32768,0,54616 h1,105:10833578,32408076:0,0,0 h1,105:10833578,32408076:0,0,54616 (1,105:10833578,32408076:0,0,0 h1,105:10833578,32408076:0,0,0 ) ) (1,105:10833578,32915383:311300,337875,0 h1,105:10833578,32915383:0,0,0 (1,105:10833578,32915383:278532,337875,0 (1,105:10833578,32915383:278532,337875,0 x1,105:11112110,32915383 ) ) ) ] ) $1,105:11144878,32709871 k1,106:30229790,32709871:19084912 g1,107:30229790,32709871 ) (1,107:6636830,33601161:23592960,498346,139537 x1,107:7753129,33601161 x1,106:9048831,33601161 g1,106:9288037,33601161 x1,106:11365149,33601161 g1,106:11604355,33601161 x1,106:12760521,33601161 g1,106:12999727,33601161 x1,106:13936621,33601161 x1,106:15232323,33601161 g1,106:15471529,33601161 x1,106:18248321,33601161 g1,106:18487527,33601161 x1,106:18886205,33601161 x1,106:21060993,33601161 g1,106:21300199,33601161 x1,106:23791936,33601161 g1,106:24031142,33601161 x1,106:24409887,33601161 x1,106:25408575,33601161 x1,106:26784014,33601161 k1,107:30229790,33601161:3445776 g1,107:30229790,33601161 ) (1,108:6636830,35452134:23592960,623900,267389 r1,108:6636830,35452134:0,891289,267389 x1,108:9378715,35452134 g1,108:9653800,35452134 x1,108:10217925,35452134 x1,108:14261682,35452134 g1,108:14536767,35452134 x1,108:16364192,35452134 r1,108:16364192,35452134:0,891289,267389 k1,108:30229790,35452134:13865598 g1,108:30229790,35452134 ) (1,112:6636830,36806885:23592960,490372,205512 x1,112:7976388,36806885 g1,109:8295329,36806885 $1,109:8295329,36806885 (1,109:8295329,36806885:2484097,490372,205512 (1,109:8295329,36806885:2172797,490372,0 (1,109:8295329,36806885:2172797,490372,0 x1,109:10468126,36806885 ) ) [1,109:10468126,37012397:311300,507307,0 (1,109:10468126,36505090:32768,0,54616 h1,109:10468126,36505090:0,0,0 h1,109:10468126,36505090:0,0,54616 (1,109:10468126,36505090:0,0,0 h1,109:10468126,36505090:0,0,0 ) ) (1,109:10468126,37012397:311300,337875,0 h1,109:10468126,37012397:0,0,0 (1,109:10468126,37012397:278532,337875,0 (1,109:10468126,37012397:278532,337875,0 x1,109:10746658,37012397 ) ) ) ] ) $1,109:10779426,36806885 k1,110:30229790,36806885:19450364 g1,112:30229790,36806885 ) (1,112:6636830,37698175:23592960,498346,139537 x1,112:8550485,37698175 k1,110:8773894,37698175:223409 x1,110:9172572,37698175 x1,110:9792516,37698175 x1,110:10191194,37698175 x1,110:11347360,37698175 k1,110:11570768,37698175:223408 x1,110:12886405,37698175 x1,110:13464488,37698175 x1,110:14062505,37698175 k1,110:14285914,37698175:223409 x1,110:14684592,37698175 x1,110:15304536,37698175 x1,110:16062024,37698175 x1,110:16978983,37698175 k1,110:17202392,37698175:223409 x1,110:18801090,37698175 k1,110:19024499,37698175:223409 x1,110:19403244,37698175 x1,110:20003254,37698175 x1,110:20401932,37698175 x1,110:21159421,37698175 x1,110:21641821,37698175 x1,110:22479045,37698175 k1,110:22702453,37698175:223408 x1,110:23420073,37698175 x1,110:24935049,37698175 k1,110:25158458,37698175:223409 x1,110:25557136,37698175 x1,110:25896012,37698175 x1,110:26494029,37698175 x1,110:26872772,37698175 x1,110:27833586,37698175 x1,110:28591074,37698175 k1,110:28814483,37698175:223409 x1,110:29392567,37698175 x1,110:29990584,37698175 x1,110:30229790,37698175 k1,112:30229790,37698175:0 ) (1,112:6636830,38589465:23592960,498346,59802 x1,112:7394318,38589465 g1,110:7633524,38589465 $1,110:7633524,38589465 (1,110:7633524,38589465:1594712,490372,59802 (1,110:7633524,38589465:518281,490372,0 (1,110:7633524,38589465:518281,490372,0 x1,110:8151805,38589465 ) ) (1,110:8151805,38589465:558150,418611,59802 x1,110:8709955,38589465 ) (1,110:8709955,38589465:518281,490372,0 (1,110:8709955,38589465:518281,490372,0 x1,110:9228236,38589465 ) ) ) $1,110:9228236,38589465 g1,110:9467442,38589465 x1,110:10065459,38589465 g1,110:10304665,38589465 x1,110:10906668,38589465 x1,110:11484752,38589465 x1,110:12403705,38589465 g1,110:12642911,38589465 x1,110:13021656,38589465 x1,110:13621666,38589465 x1,110:14020344,38589465 x1,110:14399088,38589465 x1,110:15395783,38589465 k1,111:30229790,38589465:14834007 g1,112:30229790,38589465 ) (1,112:6636830,39480755:23592960,498346,139537 x1,112:7753129,39480755 x1,111:9048831,39480755 k1,111:9384518,39480755:335687 x1,111:10263603,39480755 x1,111:10746003,39480755 x1,111:11346013,39480755 k1,111:11681699,39480755:335686 x1,111:12080377,39480755 x1,111:12700321,39480755 x1,111:13098999,39480755 x1,111:14255165,39480755 k1,111:14590852,39480755:335687 x1,111:15906489,39480755 x1,111:16484572,39480755 x1,111:17082589,39480755 k1,111:17418276,39480755:335687 x1,111:19016974,39480755 k1,111:19352660,39480755:335686 x1,111:19731405,39480755 x1,111:20331415,39480755 x1,111:20730093,39480755 x1,111:21487582,39480755 x1,111:21969982,39480755 x1,111:22807206,39480755 k1,111:23142893,39480755:335687 x1,111:24299059,39480755 k1,111:24634746,39480755:335687 x1,111:25013491,39480755 x1,111:25312498,39480755 x1,111:26349061,39480755 k1,111:26684747,39480755:335686 x1,111:27402367,39480755 x1,111:28917343,39480755 k1,111:29253030,39480755:335687 x1,111:29651708,39480755 x1,111:29990584,39480755 x1,111:30229790,39480755 k1,112:30229790,39480755:0 ) (1,112:6636830,40372045:23592960,498346,139537 x1,112:7234847,40372045 x1,112:7613590,40372045 x1,112:8574404,40372045 x1,112:9331892,40372045 k1,111:9680466,40372045:348574 x1,111:10258550,40372045 x1,111:10856567,40372045 x1,111:11614055,40372045 k1,111:11962630,40372045:348575 $1,111:11962630,40372045 (1,111:11962630,40372045:1594712,490372,59802 (1,111:11962630,40372045:518281,490372,0 (1,111:11962630,40372045:518281,490372,0 x1,111:12480911,40372045 ) ) (1,111:12480911,40372045:558150,418611,59802 x1,111:13039061,40372045 ) (1,111:13039061,40372045:518281,490372,0 (1,111:13039061,40372045:518281,490372,0 x1,111:13557342,40372045 ) ) ) $1,111:13557342,40372045 k1,111:13905916,40372045:348574 x1,111:14503933,40372045 k1,111:14852508,40372045:348575 x1,111:15454511,40372045 x1,111:16032595,40372045 x1,111:16951548,40372045 k1,111:17300122,40372045:348574 x1,111:17818403,40372045 k1,111:18166868,40372045:348465 x1,111:19841315,40372045 x1,111:20040654,40372045 x1,111:20419399,40372045 x1,111:21017416,40372045 k1,111:21365991,40372045:348575 x1,111:22661695,40372045 k1,111:23010269,40372045:348574 x1,111:23887361,40372045 x1,111:24923924,40372045 k1,111:25272499,40372045:348575 x1,111:25651244,40372045 x1,111:26747607,40372045 k1,111:27096181,40372045:348574 x1,111:27694198,40372045 x1,111:28451686,40372045 x1,111:29133425,40372045 x1,111:29512170,40372045 x1,111:30229790,40372045 k1,111:30229790,40372045:0 ) (1,112:6636830,41263335:23592960,498346,139537 x1,112:7234847,41263335 x1,112:7633525,41263335 x1,112:8291343,41263335 x1,112:8690021,41263335 x1,112:9048831,41263335 x1,112:9387706,41263335 g1,111:9626912,41263335 x1,111:10224929,41263335 x1,111:10866800,41263335 g1,111:11106006,41263335 x1,111:12780453,41263335 x1,111:13139263,41263335 x1,111:13697412,41263335 x1,111:14494768,41263335 g1,111:14733974,41263335 x1,111:15333984,41263335 x1,111:16071539,41263335 x1,111:16553939,41263335 g1,111:16793145,41263335 x1,111:17311426,41263335 x1,111:18308121,41263335 g1,111:18547327,41263335 x1,111:19504154,41263335 x1,111:20600519,41263335 k1,112:30229790,41263335:9629271 g1,112:30229790,41263335 ) (1,113:6636830,43140522:23592960,642250,275254 g1,113:9340190,43140522 r1,113:9340190,43140522:0,917504,275254 (1,113:9340190,43140522:0,642250,275254 k1,113:6636830,43140522:-2703360 (1,113:6636830,43140522:2703360,642250,275254 x1,113:8455454,43140522 g1,113:9340190,43140522 r1,113:9340190,43140522:0,917504,275254 g1,113:9340190,43140522 ) ) x1,113:12448509,43140522 r1,113:12448509,43140522:0,917504,275254 k1,113:30229790,43140522:17781281 g1,113:30229790,43140522 ) ] (1,115:6636830,45679049:23592960,0,0 h1,115:6636830,45679049:23592960,0,0 ) ] ] !31477 }7 Input:71:test.aux !36 Postamble: Count:6909 !26 Post scriptum: