Home
last modified time | relevance | path

Searched defs:iB (Results 1 – 25 of 610) sorted by relevance

12345678910>>...25

/dports/math/xtensor-blas/xtensor-blas-0.20.0/include/xflens/cxxblas/tinylevel1/
H A Dgeaxpy.tcc56 for (int i=0, iA=0, iB=0; i<m; ++i, iA+=ldA, iB+=ldB) { in geaxpy() local
67 for (int i=0, iA=0, iB=0; i<m; ++i, iA+=ldA, iB+=ldB) { in geaxpy() local
74 for (int i=0, iB=0; i<m; ++i, iB+=ldB) { in geaxpy() local
80 for (int i=0, iB=0; i<m; ++i, iB+=ldB) { in geaxpy() local
H A Dgecopy.tcc55 for (int i=0, iA=0, iB=0; i<m; ++i, iA+=ldA, iB+=ldB) { in gecopy() local
62 for (int i=0, iB=0; i<m; ++i, iB+=ldB) { in gecopy() local
/dports/math/octave-forge-divand/divand/inst/
H A Ddivand_background_components.m60 iB = alpha(1) * iB_{1}; variable
62 iB = iB + alpha(j) * iB_{j}; variable
H A Ddivand_eof_contraint.m11 iB = s.iB; variable
46 iB = iB/scaling; variable
H A Dtest_2dvar_constrain.m46 iB = full(s.iB); variable
51 iB = iB + H'*iR*H; variable
/dports/graphics/alembic/alembic-1.8.3/lib/Alembic/AbcCoreAbstract/
H A DScalarSample.cpp46 static inline bool equalWithRelAbsError( const T &iA, const T &iB, in equalWithRelAbsError()
55 const float16_t &iB, in equalWithRelAbsError()
64 const float32_t &iB, in equalWithRelAbsError()
73 const float64_t &iB, in equalWithRelAbsError()
/dports/math/armadillo/armadillo-10.7.1/tests2/
H A Dfn_intersect.cpp40 uvec iB; variable
75 uvec iB; variable
/dports/science/bagel/bagel-1.2.2/src/asd/
H A Dasd_spin_coupling.hpp67 for (int iB = 0; iB < nB; ++iB) { in couple_blocks() local
77 for (int iB = 0; iB < nB; ++iB) { in couple_blocks() local
130 for (int iB = 0; iB < nB; ++iB) in diagonal_block() local
140 for (int iB = 0; iB < nB; ++iB) // iA = iAp in diagonal_block() local
/dports/science/jmol/jmol-14.32.7/src/org/jmol/shapesurface/
H A DPMeshWriter.java113 int iB = imap[polygon[1]]; in outputTriangles() local
178 int iB = polygon[1]; in checkTriangles() local
251 private void outputEdge(int iA, int iB, int color) { in outputEdge()
258 private void outputTriangle(int iA, int iB, int iC, int color, int check) { in outputTriangle()
/dports/games/spring/spring_98.0/AI/Skirmish/RAI/
H A DUnitDefHandler.cpp261 …for( map<int,string>::const_iterator iB=ud->buildOptions.begin(); iB!=ud->buildOptions.end(); ++iB… in sRAIUnitDef() local
325 …for( map<int,sRAIUnitDef*>::iterator iB=iP->second.udr->BuildOptions.begin(); iB!=iP->second.udr->… in GetPrerequisite() local
420 for( map<int,sRAIUnitDef*>::iterator iB=BuildOptions.begin(); iB!=BuildOptions.end(); ++iB ) in CheckBuildOptions() local
426 for( map<int,sRAIUnitDef*>::iterator iB=BuildOptions.begin(); iB!=BuildOptions.end(); ++iB ) in CheckBuildOptions() local
469 for( map<int,sRAIUnitDef*>::iterator iB=BuildOptions.begin(); iB!=BuildOptions.end(); ++iB ) in CheckBuildOptions() local
481 for( map<int,sRAIUnitDef*>::iterator iB=BuildOptions.begin(); iB!=BuildOptions.end(); ++iB ) in CheckBuildOptions() local
751 …for( map<int,sRAIUnitDef*>::iterator iB=iU->second.PrerequisiteOptions.begin(); iB!=iU->second.Pre… in cRAIUnitDefHandler() local
757 …for( map<int,sRAIUnitDef*>::iterator iB=UDR.find(vTemp[iT].ID)->second.PrerequisiteOptions.begin()… in cRAIUnitDefHandler() local
831 …for( map<int,sRAIUnitDef*>::iterator iB=udr->BuildOptions.begin(); iB!=udr->BuildOptions.end(); ++… in cRAIUnitDefHandler() local
1462 …for( map<int,sRAIUnitDef*>::iterator iB = udr->BuildOptions.begin(); iB != udr->BuildOptions.end()… in cRAIUnitDefHandler() local
[all …]
/dports/games/kolf/kolf-21.12.3/external/Box2D/Dynamics/Joints/
H A Db2WeldJoint.cpp75 qreal iA = bA->m_invI, iB = bB->m_invI; in InitVelocityConstraints() local
119 qreal iA = bA->m_invI, iB = bB->m_invI; in SolveVelocityConstraints() local
154 qreal iA = bA->m_invI, iB = bB->m_invI; in SolvePositionConstraints() local
/dports/devel/upp/upp/uppsrc/plugin/box2d/
H A Db2WeldJoint.cpp75 float32 iA = bA->m_invI, iB = bB->m_invI; in InitVelocityConstraints() local
119 float32 iA = bA->m_invI, iB = bB->m_invI; in SolveVelocityConstraints() local
154 float32 iA = bA->m_invI, iB = bB->m_invI; in SolvePositionConstraints() local
/dports/math/armadillo/armadillo-10.7.1/include/armadillo_bits/
H A Dglue_intersect_meat.hpp32 uvec iB; in apply() local
42 glue_intersect::apply(Mat<typename T1::elem_type>& out, uvec& iA, uvec& iB, const Base<typename T1:… in apply()
/dports/math/R-cran-RcppArmadillo/RcppArmadillo/inst/include/armadillo_bits/
H A Dglue_intersect_meat.hpp32 uvec iB; in apply() local
42 glue_intersect::apply(Mat<typename T1::elem_type>& out, uvec& iA, uvec& iB, const Base<typename T1:… in apply()
/dports/science/hypre/hypre-2.23.0/src/FEI_mv/fei-hypre/
H A DLLNL_FEI_Fei.cxx422 int iB; in ~LLNL_FEI_Fei() local
523 for ( int iB = 0; iB < numBlocks_; iB++ ) in initElemBlock() local
635 int iB, iD; in resetMatrix() local
742 for ( int iB = 0; iB < numBlocks_; iB++ ) in resetRHSVector() local
757 for ( int iB = 0; iB < numBlocks_; iB++ ) in resetInitialGuess() local
851 int iB=0; in sumInElem() local
889 int iB=0; in sumInElemMatrix() local
927 int iB=0; in sumInElemRHS() local
952 int nprocs, iB, iP, iN, iE, ierr, nodeRegister; in loadComplete() local
1219 int localNNodes, iB, iE, iN, totalNNodes, nElems; in getNumBlockActNodes() local
[all …]
H A DFEI_HYPRE_Impl.cxx461 for ( int iB = 0; iB < numBlocks_; iB++ ) in ~FEI_HYPRE_Impl() local
644 for ( int iB = 0; iB < numBlocks_; iB++ ) in initElemBlock() local
911 for ( int iB = 0; iB < numBlocks_; iB++ ) in resetRHSVector() local
926 for ( int iB = 0; iB < numBlocks_; iB++ ) in resetInitialGuess() local
1020 int iB=0; in sumInElem() local
1058 int iB=0; in sumInElemMatrix() local
1096 int iB=0; in sumInElemRHS() local
1717 int localNNodes, iB, iE, iN, totalNNodes, nElems; in getNumBlockActNodes() local
1792 int localNNodes, iB, iE, iN, totalNNodes, nElems; in getBlockNodeIDList() local
1854 int iB, iE, iN, iD, totalNNodes, *nodeIDs; in getBlockNodeSolution() local
[all …]
/dports/science/cantera/cantera-2.5.1-611-gc4d6ecc15/src/thermo/
H A DMargulesVPSSTP.cpp177 size_t iB = m_pSpecies_B_ij[i]; in getPartialMolarVolumes() local
331 size_t iB = m_pSpecies_B_ij[i]; in s_update_lnActCoeff() local
355 size_t iB = m_pSpecies_B_ij[i]; in s_update_dlnActCoeff_dT() local
403 size_t iB = m_pSpecies_B_ij[i]; in getdlnActCoeffds() local
431 size_t iB = m_pSpecies_B_ij[i]; in s_update_dlnActCoeff_dlnN_diag() local
464 size_t iB = m_pSpecies_B_ij[i]; in s_update_dlnActCoeff_dlnN() local
499 size_t iB = m_pSpecies_B_ij[i]; in s_update_dlnActCoeff_dlnX_diag() local
/dports/converters/wkhtmltopdf/qt-5db36ec/src/3rdparty/libmng/
H A Dlibmng_filter.c176 mng_uint32 iA, iB, iC; in filter_paeth() local
413 mng_uint8 iB, iN, iQ; in mng_differ_g2() local
467 mng_uint8 iB, iN, iQ; in mng_differ_g4() local
691 mng_uint8 iB, iN, iQ; in mng_differ_idx2() local
745 mng_uint8 iB, iN, iQ; in mng_differ_idx4() local
/dports/graphics/libmng/libmng-1.0.10/
H A Dlibmng_filter.c176 mng_uint32 iA, iB, iC; in filter_paeth() local
413 mng_uint8 iB, iN, iQ; in mng_differ_g2() local
467 mng_uint8 iB, iN, iQ; in mng_differ_g4() local
691 mng_uint8 iB, iN, iQ; in mng_differ_idx2() local
745 mng_uint8 iB, iN, iQ; in mng_differ_idx4() local
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue731/
H A Dadder.vhdl9 iB : in std_ulogic_vector(gWidth-1 downto 0); port
H A Dtbadder.vhdl11 signal iA, iB : std_ulogic_vector(cWidth-1 downto 0) := (others => '0'); signal
/dports/math/suitesparse-graphblas/SuiteSparse-5.10.1/GraphBLAS/Source/Template/
H A DGB_sparse_add_template.c559 int64_t iB = Bi [pB] ; variable
1091 int64_t iB = Bi [pB] ; variable
1168 int64_t iB = Bi [pB] ; variable
/dports/math/suitesparse-ldl/SuiteSparse-5.10.1/GraphBLAS/Source/Template/
H A DGB_sparse_add_template.c559 int64_t iB = Bi [pB] ; variable
1091 int64_t iB = Bi [pB] ; variable
1168 int64_t iB = Bi [pB] ; variable
/dports/math/suitesparse-config/SuiteSparse-5.10.1/GraphBLAS/Source/Template/
H A DGB_sparse_add_template.c559 int64_t iB = Bi [pB] ; variable
1091 int64_t iB = Bi [pB] ; variable
1168 int64_t iB = Bi [pB] ; variable
/dports/math/suitesparse-btf/SuiteSparse-5.10.1/GraphBLAS/Source/Template/
H A DGB_sparse_add_template.c559 int64_t iB = Bi [pB] ; variable
1091 int64_t iB = Bi [pB] ; variable
1168 int64_t iB = Bi [pB] ; variable

12345678910>>...25