1 /* ******************************************************************************
2    *  BSIM4 4.8.1 released by Chetan Kumar Dabhi 2/15/2017                      *
3    *  BSIM4 Model Equations                                                     *
4    ******************************************************************************
5 
6    ******************************************************************************
7    *  Copyright 2017 Regents of the University of California.                   *
8    *  All rights reserved.                                                      *
9    *                                                                            *
10    *  Project Director: Prof. Chenming Hu.                                      *
11    *  Authors: Gary W. Ng, Weidong Liu, Xuemei Xi, Mohan Dunga, Wenwei Yang     *
12    *           Ali Niknejad, Shivendra Singh Parihar, Chetan Kumar Dabhi        *
13    *           Yogesh Singh Chauhan, Sayeef Salahuddin, Chenming Hu             *
14    ******************************************************************************
15 
16    ******************************************************************************
17    *                          CMC In-Code Statement                             *
18    *                                                                            *
19    *  The Developer agrees that the following statement will appear in the      *
20    *  model code that has been adopted as a CMC Standard.                       *
21    *                                                                            *
22    *  Software is distributed as is, completely without warranty or service     *
23    *  support. The University of California and its employees are not liable    *
24    *  for the condition or performance of the software.                         *
25    *                                                                            *
26    *  The University of California owns the copyright and grants users a        *
27    *  perpetual, irrevocable, worldwide, non-exclusive, royalty-free license    *
28    *  with respect to the software as set forth below.                          *
29    *                                                                            *
30    *  The University of California hereby disclaims all implied warranties.     *
31    *                                                                            *
32    *  The University of California grants the users the right to modify,        *
33    *  copy, and redistribute the software and documentation, both within        *
34    *  the user's organization and externally, subject to the following          *
35    *  restrictions:                                                             *
36    *                                                                            *
37    *  1. The users agree not to charge for the University of California code    *
38    *     itself but may charge for additions, extensions, or support.           *
39    *                                                                            *
40    *  2. In any product based on the software, the users agree to               *
41    *     acknowledge the University of California that developed the            *
42    *     software. This acknowledgment shall appear in the product              *
43    *     documentation.                                                         *
44    *                                                                            *
45    *  3. Redistributions to others of source code and documentation must        *
46    *     retain the copyright notice, disclaimer, and list of conditions.       *
47    *                                                                            *
48    *  4. Redistributions to others in binary form must reproduce the            *
49    *     copyright notice, disclaimer, and list of conditions in the            *
50    *     documentation and/or other materials provided with the                 *
51    *     distribution.                                                          *
52    *                                                                            *
53    *  Agreed to on ______Feb. 15, 2017______________                            *
54    *                                                                            *
55    *  By: ____University of California, Berkeley___                             *
56    *      ____Chenming Hu__________________________                             *
57    *      ____Professor in Graduate School ________                             *
58    *                                                                            *
59    ****************************************************************************** */
60 
61 #ifndef BSIM4
62 #define BSIM4
63 
64 #include "ngspice/ifsim.h"
65 #include "ngspice/gendefs.h"
66 #include "ngspice/cktdefs.h"
67 #include "ngspice/complex.h"
68 #include "ngspice/noisedef.h"
69 
70 typedef struct sBSIM4instance
71 {
72 
73     struct GENinstance gen;
74 
75 #define BSIM4modPtr(inst) ((struct sBSIM4model *)((inst)->gen.GENmodPtr))
76 #define BSIM4nextInstance(inst) ((struct sBSIM4instance *)((inst)->gen.GENnextInstance))
77 #define BSIM4name gen.GENname
78 #define BSIM4states gen.GENstate
79 
80     const int BSIM4dNode;
81     const int BSIM4gNodeExt;
82     const int BSIM4sNode;
83     const int BSIM4bNode;
84     int BSIM4dNodePrime;
85     int BSIM4gNodePrime;
86     int BSIM4gNodeMid;
87     int BSIM4sNodePrime;
88     int BSIM4bNodePrime;
89     int BSIM4dbNode;
90     int BSIM4sbNode;
91     int BSIM4qNode;
92 
93     double BSIM4ueff;
94     double BSIM4thetavth;
95     double BSIM4von;
96     double BSIM4vdsat;
97     double BSIM4cgdo;
98     double BSIM4qgdo;
99     double BSIM4cgso;
100     double BSIM4qgso;
101     double BSIM4grbsb;
102     double BSIM4grbdb;
103     double BSIM4grbpb;
104     double BSIM4grbps;
105     double BSIM4grbpd;
106 
107     double BSIM4vjsmFwd;
108     double BSIM4vjsmRev;
109     double BSIM4vjdmFwd;
110     double BSIM4vjdmRev;
111     double BSIM4XExpBVS;
112     double BSIM4XExpBVD;
113     double BSIM4SslpFwd;
114     double BSIM4SslpRev;
115     double BSIM4DslpFwd;
116     double BSIM4DslpRev;
117     double BSIM4IVjsmFwd;
118     double BSIM4IVjsmRev;
119     double BSIM4IVjdmFwd;
120     double BSIM4IVjdmRev;
121 
122     double BSIM4grgeltd;
123     double BSIM4Pseff;
124     double BSIM4Pdeff;
125     double BSIM4Aseff;
126     double BSIM4Adeff;
127 
128     double BSIM4l;
129     double BSIM4w;
130     double BSIM4drainArea;
131     double BSIM4sourceArea;
132     double BSIM4drainSquares;
133     double BSIM4sourceSquares;
134     double BSIM4drainPerimeter;
135     double BSIM4sourcePerimeter;
136     double BSIM4sourceConductance;
137     double BSIM4drainConductance;
138      /* stress effect instance param */
139     double BSIM4sa;
140     double BSIM4sb;
141     double BSIM4sd;
142     double BSIM4sca;
143     double BSIM4scb;
144     double BSIM4scc;
145     double BSIM4sc;
146 
147     double BSIM4rbdb;
148     double BSIM4rbsb;
149     double BSIM4rbpb;
150     double BSIM4rbps;
151     double BSIM4rbpd;
152 
153     double BSIM4delvto;
154     double BSIM4mulu0;
155     int BSIM4wnflag;
156     double BSIM4xgw;
157     double BSIM4ngcon;
158 
159      /* added here to account stress effect instance dependence */
160     double BSIM4u0temp;
161     double BSIM4vsattemp;
162     double BSIM4vth0;
163     double BSIM4vfb;
164     double BSIM4vfbzb;
165     double BSIM4vtfbphi1;
166     double BSIM4vtfbphi2;
167     double BSIM4k2;
168     double BSIM4vbsc;
169     double BSIM4k2ox;
170     double BSIM4eta0;
171     double BSIM4toxp;
172     double BSIM4coxp;
173 
174     double BSIM4icVDS;
175     double BSIM4icVGS;
176     double BSIM4icVBS;
177     double BSIM4m;
178     double BSIM4nf;
179     int BSIM4off;
180     int BSIM4mode;
181     int BSIM4trnqsMod;
182     int BSIM4acnqsMod;
183     int BSIM4rbodyMod;
184     int BSIM4rgateMod;
185     int BSIM4geoMod;
186     int BSIM4rgeoMod;
187     int BSIM4min;
188 
189 
190     /* OP point */
191     double BSIM4Vgsteff;
192     double BSIM4vgs_eff;
193     double BSIM4vgd_eff;
194     double BSIM4dvgs_eff_dvg;
195     double BSIM4dvgd_eff_dvg;
196     double BSIM4Vdseff;
197     double BSIM4nstar;
198     double BSIM4Abulk;
199     double BSIM4EsatL;
200     double BSIM4AbovVgst2Vtm;
201     double BSIM4qinv;
202     double BSIM4cd;
203     double BSIM4cbs;
204     double BSIM4cbd;
205     double BSIM4csub;
206     double BSIM4Igidl;
207     double BSIM4Igisl;
208     double BSIM4gm;
209     double BSIM4gds;
210     double BSIM4gmbs;
211     double BSIM4gbd;
212     double BSIM4gbs;
213     double BSIM4noiGd0;   /* tnoiMod=2 (v4.7) */
214     double BSIM4Coxeff;
215 
216     double BSIM4gbbs;
217     double BSIM4gbgs;
218     double BSIM4gbds;
219     double BSIM4ggidld;
220     double BSIM4ggidlg;
221     double BSIM4ggidls;
222     double BSIM4ggidlb;
223     double BSIM4ggisld;
224     double BSIM4ggislg;
225     double BSIM4ggisls;
226     double BSIM4ggislb;
227 
228     double BSIM4Igcs;
229     double BSIM4gIgcsg;
230     double BSIM4gIgcsd;
231     double BSIM4gIgcss;
232     double BSIM4gIgcsb;
233     double BSIM4Igcd;
234     double BSIM4gIgcdg;
235     double BSIM4gIgcdd;
236     double BSIM4gIgcds;
237     double BSIM4gIgcdb;
238 
239     double BSIM4Igs;
240     double BSIM4gIgsg;
241     double BSIM4gIgss;
242     double BSIM4Igd;
243     double BSIM4gIgdg;
244     double BSIM4gIgdd;
245 
246     double BSIM4Igb;
247     double BSIM4gIgbg;
248     double BSIM4gIgbd;
249     double BSIM4gIgbs;
250     double BSIM4gIgbb;
251 
252     double BSIM4grdsw;
253     double BSIM4IdovVds;
254     double BSIM4gcrg;
255     double BSIM4gcrgd;
256     double BSIM4gcrgg;
257     double BSIM4gcrgs;
258     double BSIM4gcrgb;
259 
260     double BSIM4gstot;
261     double BSIM4gstotd;
262     double BSIM4gstotg;
263     double BSIM4gstots;
264     double BSIM4gstotb;
265 
266     double BSIM4gdtot;
267     double BSIM4gdtotd;
268     double BSIM4gdtotg;
269     double BSIM4gdtots;
270     double BSIM4gdtotb;
271 
272     double BSIM4cggb;
273     double BSIM4cgdb;
274     double BSIM4cgsb;
275     double BSIM4cbgb;
276     double BSIM4cbdb;
277     double BSIM4cbsb;
278     double BSIM4cdgb;
279     double BSIM4cddb;
280     double BSIM4cdsb;
281     double BSIM4csgb;
282     double BSIM4csdb;
283     double BSIM4cssb;
284     double BSIM4cgbb;
285     double BSIM4cdbb;
286     double BSIM4csbb;
287     double BSIM4cbbb;
288     double BSIM4capbd;
289     double BSIM4capbs;
290 
291     double BSIM4cqgb;
292     double BSIM4cqdb;
293     double BSIM4cqsb;
294     double BSIM4cqbb;
295 
296     double BSIM4qgate;
297     double BSIM4qbulk;
298     double BSIM4qdrn;
299     double BSIM4qsrc;
300     double BSIM4qdef;
301 
302     double BSIM4qchqs;
303     double BSIM4taunet;
304     double BSIM4gtau;
305     double BSIM4gtg;
306     double BSIM4gtd;
307     double BSIM4gts;
308     double BSIM4gtb;
309     double BSIM4SjctTempRevSatCur;
310     double BSIM4DjctTempRevSatCur;
311     double BSIM4SswTempRevSatCur;
312     double BSIM4DswTempRevSatCur;
313     double BSIM4SswgTempRevSatCur;
314     double BSIM4DswgTempRevSatCur;
315 
316     struct bsim4SizeDependParam  *pParam;
317 
318     unsigned BSIM4lGiven :1;
319     unsigned BSIM4wGiven :1;
320     unsigned BSIM4mGiven :1;
321     unsigned BSIM4nfGiven :1;
322     unsigned BSIM4minGiven :1;
323     unsigned BSIM4drainAreaGiven :1;
324     unsigned BSIM4sourceAreaGiven    :1;
325     unsigned BSIM4drainSquaresGiven  :1;
326     unsigned BSIM4sourceSquaresGiven :1;
327     unsigned BSIM4drainPerimeterGiven    :1;
328     unsigned BSIM4sourcePerimeterGiven   :1;
329     unsigned BSIM4saGiven :1;
330     unsigned BSIM4sbGiven :1;
331     unsigned BSIM4sdGiven :1;
332     unsigned BSIM4scaGiven :1;
333     unsigned BSIM4scbGiven :1;
334     unsigned BSIM4sccGiven :1;
335     unsigned BSIM4scGiven :1;
336     unsigned BSIM4rbdbGiven   :1;
337     unsigned BSIM4rbsbGiven   :1;
338     unsigned BSIM4rbpbGiven   :1;
339     unsigned BSIM4rbpdGiven   :1;
340     unsigned BSIM4rbpsGiven   :1;
341     unsigned BSIM4delvtoGiven   :1;
342     unsigned BSIM4mulu0Given   :1;
343     unsigned BSIM4wnflagGiven   :1;
344     unsigned BSIM4xgwGiven   :1;
345     unsigned BSIM4ngconGiven   :1;
346     unsigned BSIM4icVDSGiven :1;
347     unsigned BSIM4icVGSGiven :1;
348     unsigned BSIM4icVBSGiven :1;
349     unsigned BSIM4trnqsModGiven :1;
350     unsigned BSIM4acnqsModGiven :1;
351     unsigned BSIM4rbodyModGiven :1;
352     unsigned BSIM4rgateModGiven :1;
353     unsigned BSIM4geoModGiven :1;
354     unsigned BSIM4rgeoModGiven :1;
355 
356 
357     double *BSIM4DPdPtr;
358     double *BSIM4DPdpPtr;
359     double *BSIM4DPgpPtr;
360     double *BSIM4DPgmPtr;
361     double *BSIM4DPspPtr;
362     double *BSIM4DPbpPtr;
363     double *BSIM4DPdbPtr;
364 
365     double *BSIM4DdPtr;
366     double *BSIM4DdpPtr;
367 
368     double *BSIM4GPdpPtr;
369     double *BSIM4GPgpPtr;
370     double *BSIM4GPgmPtr;
371     double *BSIM4GPgePtr;
372     double *BSIM4GPspPtr;
373     double *BSIM4GPbpPtr;
374 
375     double *BSIM4GMdpPtr;
376     double *BSIM4GMgpPtr;
377     double *BSIM4GMgmPtr;
378     double *BSIM4GMgePtr;
379     double *BSIM4GMspPtr;
380     double *BSIM4GMbpPtr;
381 
382     double *BSIM4GEdpPtr;
383     double *BSIM4GEgpPtr;
384     double *BSIM4GEgmPtr;
385     double *BSIM4GEgePtr;
386     double *BSIM4GEspPtr;
387     double *BSIM4GEbpPtr;
388 
389     double *BSIM4SPdpPtr;
390     double *BSIM4SPgpPtr;
391     double *BSIM4SPgmPtr;
392     double *BSIM4SPsPtr;
393     double *BSIM4SPspPtr;
394     double *BSIM4SPbpPtr;
395     double *BSIM4SPsbPtr;
396 
397     double *BSIM4SspPtr;
398     double *BSIM4SsPtr;
399 
400     double *BSIM4BPdpPtr;
401     double *BSIM4BPgpPtr;
402     double *BSIM4BPgmPtr;
403     double *BSIM4BPspPtr;
404     double *BSIM4BPdbPtr;
405     double *BSIM4BPbPtr;
406     double *BSIM4BPsbPtr;
407     double *BSIM4BPbpPtr;
408 
409     double *BSIM4DBdpPtr;
410     double *BSIM4DBdbPtr;
411     double *BSIM4DBbpPtr;
412     double *BSIM4DBbPtr;
413 
414     double *BSIM4SBspPtr;
415     double *BSIM4SBbpPtr;
416     double *BSIM4SBbPtr;
417     double *BSIM4SBsbPtr;
418 
419     double *BSIM4BdbPtr;
420     double *BSIM4BbpPtr;
421     double *BSIM4BsbPtr;
422     double *BSIM4BbPtr;
423 
424     double *BSIM4DgpPtr;
425     double *BSIM4DspPtr;
426     double *BSIM4DbpPtr;
427     double *BSIM4SdpPtr;
428     double *BSIM4SgpPtr;
429     double *BSIM4SbpPtr;
430 
431     double *BSIM4QdpPtr;
432     double *BSIM4QgpPtr;
433     double *BSIM4QspPtr;
434     double *BSIM4QbpPtr;
435     double *BSIM4QqPtr;
436     double *BSIM4DPqPtr;
437     double *BSIM4GPqPtr;
438     double *BSIM4SPqPtr;
439 
440 #ifdef USE_OMP
441     /* per instance storage of results, to update matrix at a later stge */
442     double BSIM4rhsdPrime;
443     double BSIM4rhsgPrime;
444     double BSIM4rhsgExt;
445     double BSIM4grhsMid;
446     double BSIM4rhsbPrime;
447     double BSIM4rhssPrime;
448     double BSIM4rhsdb;
449     double BSIM4rhssb;
450     double BSIM4rhsd;
451     double BSIM4rhss;
452     double BSIM4rhsq;
453 
454     double BSIM4_1;
455     double BSIM4_2;
456     double BSIM4_3;
457     double BSIM4_4;
458     double BSIM4_5;
459     double BSIM4_6;
460     double BSIM4_7;
461     double BSIM4_8;
462     double BSIM4_9;
463     double BSIM4_10;
464     double BSIM4_11;
465     double BSIM4_12;
466     double BSIM4_13;
467     double BSIM4_14;
468     double BSIM4_15;
469     double BSIM4_16;
470     double BSIM4_17;
471     double BSIM4_18;
472     double BSIM4_19;
473     double BSIM4_20;
474     double BSIM4_21;
475     double BSIM4_22;
476     double BSIM4_23;
477     double BSIM4_24;
478     double BSIM4_25;
479     double BSIM4_26;
480     double BSIM4_27;
481     double BSIM4_28;
482     double BSIM4_29;
483     double BSIM4_30;
484     double BSIM4_31;
485     double BSIM4_32;
486     double BSIM4_33;
487     double BSIM4_34;
488     double BSIM4_35;
489     double BSIM4_36;
490     double BSIM4_37;
491     double BSIM4_38;
492     double BSIM4_39;
493     double BSIM4_40;
494     double BSIM4_41;
495     double BSIM4_42;
496     double BSIM4_43;
497     double BSIM4_44;
498     double BSIM4_45;
499     double BSIM4_46;
500     double BSIM4_47;
501     double BSIM4_48;
502     double BSIM4_49;
503     double BSIM4_50;
504     double BSIM4_51;
505     double BSIM4_52;
506     double BSIM4_53;
507     double BSIM4_54;
508     double BSIM4_55;
509     double BSIM4_56;
510     double BSIM4_57;
511     double BSIM4_58;
512     double BSIM4_59;
513     double BSIM4_60;
514     double BSIM4_61;
515     double BSIM4_62;
516     double BSIM4_63;
517     double BSIM4_64;
518     double BSIM4_65;
519     double BSIM4_66;
520     double BSIM4_67;
521     double BSIM4_68;
522     double BSIM4_69;
523     double BSIM4_70;
524     double BSIM4_71;
525     double BSIM4_72;
526     double BSIM4_73;
527     double BSIM4_74;
528     double BSIM4_75;
529     double BSIM4_76;
530     double BSIM4_77;
531     double BSIM4_78;
532     double BSIM4_79;
533     double BSIM4_80;
534     double BSIM4_81;
535     double BSIM4_82;
536     double BSIM4_83;
537     double BSIM4_84;
538     double BSIM4_85;
539     double BSIM4_86;
540     double BSIM4_87;
541     double BSIM4_88;
542     double BSIM4_89;
543     double BSIM4_90;
544     double BSIM4_91;
545     double BSIM4_92;
546     double BSIM4_93;
547     double BSIM4_94;
548     double BSIM4_95;
549     double BSIM4_96;
550     double BSIM4_97;
551     double BSIM4_98;
552     double BSIM4_99;
553     double BSIM4_100;
554     double BSIM4_101;
555     double BSIM4_102;
556     double BSIM4_103;
557 #endif
558 
559 #define BSIM4vbd BSIM4states+ 0
560 #define BSIM4vbs BSIM4states+ 1
561 #define BSIM4vgs BSIM4states+ 2
562 #define BSIM4vds BSIM4states+ 3
563 #define BSIM4vdbs BSIM4states+ 4
564 #define BSIM4vdbd BSIM4states+ 5
565 #define BSIM4vsbs BSIM4states+ 6
566 #define BSIM4vges BSIM4states+ 7
567 #define BSIM4vgms BSIM4states+ 8
568 #define BSIM4vses BSIM4states+ 9
569 #define BSIM4vdes BSIM4states+ 10
570 
571 #define BSIM4qb BSIM4states+ 11
572 #define BSIM4cqb BSIM4states+ 12
573 #define BSIM4qg BSIM4states+ 13
574 #define BSIM4cqg BSIM4states+ 14
575 #define BSIM4qd BSIM4states+ 15
576 #define BSIM4cqd BSIM4states+ 16
577 #define BSIM4qgmid BSIM4states+ 17
578 #define BSIM4cqgmid BSIM4states+ 18
579 
580 #define BSIM4qbs  BSIM4states+ 19
581 #define BSIM4cqbs  BSIM4states+ 20
582 #define BSIM4qbd  BSIM4states+ 21
583 #define BSIM4cqbd  BSIM4states+ 22
584 
585 #define BSIM4qcheq BSIM4states+ 23
586 #define BSIM4cqcheq BSIM4states+ 24
587 #define BSIM4qcdump BSIM4states+ 25
588 #define BSIM4cqcdump BSIM4states+ 26
589 #define BSIM4qdef BSIM4states+ 27
590 #define BSIM4qs BSIM4states+ 28
591 
592 #define BSIM4numStates 29
593 
594 
595 /* indices to the array of BSIM4 NOISE SOURCES */
596 
597 #define BSIM4RDNOIZ       0
598 #define BSIM4RSNOIZ       1
599 #define BSIM4RGNOIZ       2
600 #define BSIM4RBPSNOIZ     3
601 #define BSIM4RBPDNOIZ     4
602 #define BSIM4RBPBNOIZ     5
603 #define BSIM4RBSBNOIZ     6
604 #define BSIM4RBDBNOIZ     7
605 #define BSIM4IDNOIZ       8
606 #define BSIM4FLNOIZ       9
607 #define BSIM4IGSNOIZ      10
608 #define BSIM4IGDNOIZ      11
609 #define BSIM4IGBNOIZ      12
610 #define BSIM4CORLNOIZ     13
611 #define BSIM4TOTNOIZ      14
612 
613 #define BSIM4NSRCS        15  /* Number of BSIM4 noise sources */
614 
615 #ifndef NONOISE
616     double BSIM4nVar[NSTATVARS][BSIM4NSRCS];
617 #else /* NONOISE */
618         double **BSIM4nVar;
619 #endif /* NONOISE */
620 
621 } BSIM4instance ;
622 
623 struct bsim4SizeDependParam
624 {
625     double Width;
626     double Length;
627     double NFinger;
628 
629     double BSIM4cdsc;
630     double BSIM4cdscb;
631     double BSIM4cdscd;
632     double BSIM4cit;
633     double BSIM4nfactor;
634     double BSIM4xj;
635     double BSIM4vsat;
636     double BSIM4at;
637     double BSIM4a0;
638     double BSIM4ags;
639     double BSIM4a1;
640     double BSIM4a2;
641     double BSIM4keta;
642     double BSIM4nsub;
643     double BSIM4ndep;
644     double BSIM4nsd;
645     double BSIM4phin;
646     double BSIM4ngate;
647     double BSIM4gamma1;
648     double BSIM4gamma2;
649     double BSIM4vbx;
650     double BSIM4vbi;
651     double BSIM4vbm;
652     double BSIM4xt;
653     double BSIM4phi;
654     double BSIM4litl;
655     double BSIM4k1;
656     double BSIM4kt1;
657     double BSIM4kt1l;
658     double BSIM4kt2;
659     double BSIM4k2;
660     double BSIM4k3;
661     double BSIM4k3b;
662     double BSIM4w0;
663     double BSIM4dvtp0;
664     double BSIM4dvtp1;
665     double BSIM4dvtp2;	/* New DIBL/Rout */
666     double BSIM4dvtp3;
667     double BSIM4dvtp4;
668     double BSIM4dvtp5;
669     double BSIM4lpe0;
670     double BSIM4lpeb;
671     double BSIM4dvt0;
672     double BSIM4dvt1;
673     double BSIM4dvt2;
674     double BSIM4dvt0w;
675     double BSIM4dvt1w;
676     double BSIM4dvt2w;
677     double BSIM4drout;
678     double BSIM4dsub;
679     double BSIM4vth0;
680     double BSIM4ua;
681     double BSIM4ua1;
682     double BSIM4ub;
683     double BSIM4ub1;
684     double BSIM4uc;
685     double BSIM4uc1;
686     double BSIM4ud;
687     double BSIM4ud1;
688     double BSIM4up;
689     double BSIM4lp;
690     double BSIM4u0;
691     double BSIM4eu;
692   	double BSIM4ucs;
693     double BSIM4ute;
694 	  double BSIM4ucste;
695     double BSIM4voff;
696     double BSIM4tvoff;
697     double BSIM4tnfactor; 	/* v4.7 Temp dep of leakage current */
698     double BSIM4teta0;   	/* v4.7 temp dep of leakage current */
699     double BSIM4tvoffcv;	/* v4.7 temp dep of leakage current */
700     double BSIM4minv;
701     double BSIM4minvcv;
702     double BSIM4vfb;
703     double BSIM4delta;
704     double BSIM4rdsw;
705     double BSIM4rds0;
706     double BSIM4rs0;
707     double BSIM4rd0;
708     double BSIM4rsw;
709     double BSIM4rdw;
710     double BSIM4prwg;
711     double BSIM4prwb;
712     double BSIM4prt;
713     double BSIM4eta0;
714     double BSIM4etab;
715     double BSIM4pclm;
716     double BSIM4pdibl1;
717     double BSIM4pdibl2;
718     double BSIM4pdiblb;
719     double BSIM4fprout;
720     double BSIM4pdits;
721     double BSIM4pditsd;
722     double BSIM4pscbe1;
723     double BSIM4pscbe2;
724     double BSIM4pvag;
725     double BSIM4wr;
726     double BSIM4dwg;
727     double BSIM4dwb;
728     double BSIM4b0;
729     double BSIM4b1;
730     double BSIM4alpha0;
731     double BSIM4alpha1;
732     double BSIM4beta0;
733     double BSIM4agidl;
734     double BSIM4bgidl;
735     double BSIM4cgidl;
736     double BSIM4egidl;
737     double BSIM4fgidl; /* v4.7 New GIDL/GISL */
738     double BSIM4kgidl; /* v4.7 New GIDL/GISL */
739     double BSIM4rgidl; /* v4.7 New GIDL/GISL */
740     double BSIM4agisl;
741     double BSIM4bgisl;
742     double BSIM4cgisl;
743     double BSIM4egisl;
744     double BSIM4fgisl; /* v4.7 New GIDL/GISL */
745     double BSIM4kgisl; /* v4.7 New GIDL/GISL */
746     double BSIM4rgisl; /* v4.7 New GIDL/GISL */
747     double BSIM4aigc;
748     double BSIM4bigc;
749     double BSIM4cigc;
750     double BSIM4aigsd;
751     double BSIM4bigsd;
752     double BSIM4cigsd;
753     double BSIM4aigs;
754     double BSIM4bigs;
755     double BSIM4cigs;
756     double BSIM4aigd;
757     double BSIM4bigd;
758     double BSIM4cigd;
759     double BSIM4aigbacc;
760     double BSIM4bigbacc;
761     double BSIM4cigbacc;
762     double BSIM4aigbinv;
763     double BSIM4bigbinv;
764     double BSIM4cigbinv;
765     double BSIM4nigc;
766     double BSIM4nigbacc;
767     double BSIM4nigbinv;
768     double BSIM4ntox;
769     double BSIM4eigbinv;
770     double BSIM4pigcd;
771     double BSIM4poxedge;
772     double BSIM4xrcrg1;
773     double BSIM4xrcrg2;
774     double BSIM4lambda; /* overshoot */
775     double BSIM4vtl; /* thermal velocity limit */
776     double BSIM4xn; /* back scattering parameter */
777     double BSIM4lc; /* back scattering parameter */
778     double BSIM4tfactor;  /* ballistic transportation factor  */
779     double BSIM4vfbsdoff;  /* S/D flatband offset voltage  */
780     double BSIM4tvfbsdoff;
781 
782 /* added for stress effect */
783     double BSIM4ku0;
784     double BSIM4kvth0;
785     double BSIM4ku0temp;
786     double BSIM4rho_ref;
787     double BSIM4inv_od_ref;
788 /* added for well proximity effect */
789     double BSIM4kvth0we;
790     double BSIM4k2we;
791     double BSIM4ku0we;
792 
793     /* CV model */
794     double BSIM4cgsl;
795     double BSIM4cgdl;
796     double BSIM4ckappas;
797     double BSIM4ckappad;
798     double BSIM4cf;
799     double BSIM4clc;
800     double BSIM4cle;
801     double BSIM4vfbcv;
802     double BSIM4noff;
803     double BSIM4voffcv;
804     double BSIM4acde;
805     double BSIM4moin;
806 
807 /* Pre-calculated constants */
808 
809     double BSIM4dw;
810     double BSIM4dl;
811     double BSIM4leff;
812     double BSIM4weff;
813 
814     double BSIM4dwc;
815     double BSIM4dlc;
816     double BSIM4dwj;
817     double BSIM4leffCV;
818     double BSIM4weffCV;
819     double BSIM4weffCJ;
820     double BSIM4abulkCVfactor;
821     double BSIM4cgso;
822     double BSIM4cgdo;
823     double BSIM4cgbo;
824 
825     double BSIM4u0temp;
826     double BSIM4vsattemp;
827     double BSIM4sqrtPhi;
828     double BSIM4phis3;
829     double BSIM4Xdep0;
830     double BSIM4sqrtXdep0;
831     double BSIM4theta0vb0;
832     double BSIM4thetaRout;
833     double BSIM4mstar;
834 	  double BSIM4VgsteffVth;
835     double BSIM4mstarcv;
836     double BSIM4voffcbn;
837     double BSIM4voffcbncv;
838     double BSIM4rdswmin;
839     double BSIM4rdwmin;
840     double BSIM4rswmin;
841     double BSIM4vfbsd;
842 
843     double BSIM4cof1;
844     double BSIM4cof2;
845     double BSIM4cof3;
846     double BSIM4cof4;
847     double BSIM4cdep0;
848     double BSIM4ToxRatio;
849     double BSIM4Aechvb;
850     double BSIM4Bechvb;
851     double BSIM4ToxRatioEdge;
852     double BSIM4AechvbEdgeS;
853     double BSIM4AechvbEdgeD;
854     double BSIM4BechvbEdge;
855     double BSIM4ldeb;
856     double BSIM4k1ox;
857     double BSIM4k2ox;
858     double BSIM4vfbzbfactor;
859     double BSIM4dvtp2factor; /* v4.7 */
860     struct bsim4SizeDependParam  *pNext;
861 };
862 
863 
864 typedef struct sBSIM4model
865 {
866 
867     struct GENmodel gen;
868 
869 #define BSIM4modType gen.GENmodType
870 #define BSIM4nextModel(inst) ((struct sBSIM4model *)((inst)->gen.GENnextModel))
871 #define BSIM4instances(inst) ((BSIM4instance *)((inst)->gen.GENinstances))
872 #define BSIM4modName gen.GENmodName
873 
874     int BSIM4type;
875 
876     int    BSIM4mobMod;
877     int    BSIM4cvchargeMod;
878     int    BSIM4capMod;
879     int    BSIM4dioMod;
880     int    BSIM4trnqsMod;
881     int    BSIM4acnqsMod;
882     int    BSIM4fnoiMod;
883     int    BSIM4tnoiMod;
884     int    BSIM4rdsMod;
885     int    BSIM4rbodyMod;
886     int    BSIM4rgateMod;
887     int    BSIM4perMod;
888     int    BSIM4geoMod;
889     int    BSIM4rgeoMod;
890     int    BSIM4mtrlMod;
891     int    BSIM4mtrlCompatMod; /* v4.7 */
892     int    BSIM4gidlMod; /* v4.7 New GIDL/GISL */
893     int    BSIM4igcMod;
894     int    BSIM4igbMod;
895     int    BSIM4tempMod;
896     int    BSIM4binUnit;
897     int    BSIM4paramChk;
898     char   *BSIM4version;
899     double BSIM4eot;
900     double BSIM4vddeot;
901   	double BSIM4tempeot;
902   	double BSIM4leffeot;
903   	double BSIM4weffeot;
904     double BSIM4ados;
905     double BSIM4bdos;
906     double BSIM4toxe;
907     double BSIM4toxp;
908     double BSIM4toxm;
909     double BSIM4dtox;
910     double BSIM4epsrox;
911     double BSIM4cdsc;
912     double BSIM4cdscb;
913     double BSIM4cdscd;
914     double BSIM4cit;
915     double BSIM4nfactor;
916     double BSIM4xj;
917     double BSIM4vsat;
918     double BSIM4at;
919     double BSIM4a0;
920     double BSIM4ags;
921     double BSIM4a1;
922     double BSIM4a2;
923     double BSIM4keta;
924     double BSIM4nsub;
925     double BSIM4phig;
926     double BSIM4epsrgate;
927     double BSIM4easub;
928     double BSIM4epsrsub;
929     double BSIM4ni0sub;
930     double BSIM4bg0sub;
931     double BSIM4tbgasub;
932     double BSIM4tbgbsub;
933     double BSIM4ndep;
934     double BSIM4nsd;
935     double BSIM4phin;
936     double BSIM4ngate;
937     double BSIM4gamma1;
938     double BSIM4gamma2;
939     double BSIM4vbx;
940     double BSIM4vbm;
941     double BSIM4xt;
942     double BSIM4k1;
943     double BSIM4kt1;
944     double BSIM4kt1l;
945     double BSIM4kt2;
946     double BSIM4k2;
947     double BSIM4k3;
948     double BSIM4k3b;
949     double BSIM4w0;
950     double BSIM4dvtp0;
951     double BSIM4dvtp1;
952     double BSIM4dvtp2;	/* New DIBL/Rout */
953     double BSIM4dvtp3;
954     double BSIM4dvtp4;
955     double BSIM4dvtp5;
956     double BSIM4lpe0;
957     double BSIM4lpeb;
958     double BSIM4dvt0;
959     double BSIM4dvt1;
960     double BSIM4dvt2;
961     double BSIM4dvt0w;
962     double BSIM4dvt1w;
963     double BSIM4dvt2w;
964     double BSIM4drout;
965     double BSIM4dsub;
966     double BSIM4vth0;
967     double BSIM4eu;
968   	double BSIM4ucs;
969     double BSIM4ua;
970     double BSIM4ua1;
971     double BSIM4ub;
972     double BSIM4ub1;
973     double BSIM4uc;
974     double BSIM4uc1;
975     double BSIM4ud;
976     double BSIM4ud1;
977     double BSIM4up;
978     double BSIM4lp;
979     double BSIM4u0;
980     double BSIM4ute;
981   	double BSIM4ucste;
982     double BSIM4voff;
983     double BSIM4tvoff;
984     double BSIM4tnfactor; 	/* v4.7 Temp dep of leakage current */
985     double BSIM4teta0;   	/* v4.7 temp dep of leakage current */
986     double BSIM4tvoffcv;	/* v4.7 temp dep of leakage current */
987     double BSIM4minv;
988     double BSIM4minvcv;
989     double BSIM4voffl;
990     double BSIM4voffcvl;
991     double BSIM4delta;
992     double BSIM4rdsw;
993     double BSIM4rdswmin;
994     double BSIM4rdwmin;
995     double BSIM4rswmin;
996     double BSIM4rsw;
997     double BSIM4rdw;
998     double BSIM4prwg;
999     double BSIM4prwb;
1000     double BSIM4prt;
1001     double BSIM4eta0;
1002     double BSIM4etab;
1003     double BSIM4pclm;
1004     double BSIM4pdibl1;
1005     double BSIM4pdibl2;
1006     double BSIM4pdiblb;
1007     double BSIM4fprout;
1008     double BSIM4pdits;
1009     double BSIM4pditsd;
1010     double BSIM4pditsl;
1011     double BSIM4pscbe1;
1012     double BSIM4pscbe2;
1013     double BSIM4pvag;
1014     double BSIM4wr;
1015     double BSIM4dwg;
1016     double BSIM4dwb;
1017     double BSIM4b0;
1018     double BSIM4b1;
1019     double BSIM4alpha0;
1020     double BSIM4alpha1;
1021     double BSIM4beta0;
1022     double BSIM4agidl;
1023     double BSIM4bgidl;
1024     double BSIM4cgidl;
1025     double BSIM4egidl;
1026     double BSIM4fgidl; /* v4.7 New GIDL/GISL */
1027     double BSIM4kgidl; /* v4.7 New GIDL/GISL */
1028     double BSIM4rgidl; /* v4.7 New GIDL/GISL */
1029     double BSIM4agisl;
1030     double BSIM4bgisl;
1031     double BSIM4cgisl;
1032     double BSIM4egisl;
1033     double BSIM4fgisl; /* v4.7 New GIDL/GISL */
1034     double BSIM4kgisl; /* v4.7 New GIDL/GISL */
1035     double BSIM4rgisl; /* v4.7 New GIDL/GISL */
1036     double BSIM4aigc;
1037     double BSIM4bigc;
1038     double BSIM4cigc;
1039     double BSIM4aigsd;
1040     double BSIM4bigsd;
1041     double BSIM4cigsd;
1042     double BSIM4aigs;
1043     double BSIM4bigs;
1044     double BSIM4cigs;
1045     double BSIM4aigd;
1046     double BSIM4bigd;
1047     double BSIM4cigd;
1048     double BSIM4aigbacc;
1049     double BSIM4bigbacc;
1050     double BSIM4cigbacc;
1051     double BSIM4aigbinv;
1052     double BSIM4bigbinv;
1053     double BSIM4cigbinv;
1054     double BSIM4nigc;
1055     double BSIM4nigbacc;
1056     double BSIM4nigbinv;
1057     double BSIM4ntox;
1058     double BSIM4eigbinv;
1059     double BSIM4pigcd;
1060     double BSIM4poxedge;
1061     double BSIM4toxref;
1062     double BSIM4ijthdfwd;
1063     double BSIM4ijthsfwd;
1064     double BSIM4ijthdrev;
1065     double BSIM4ijthsrev;
1066     double BSIM4xjbvd;
1067     double BSIM4xjbvs;
1068     double BSIM4bvd;
1069     double BSIM4bvs;
1070 
1071     double BSIM4jtss;
1072     double BSIM4jtsd;
1073     double BSIM4jtssws;
1074     double BSIM4jtsswd;
1075     double BSIM4jtsswgs;
1076     double BSIM4jtsswgd;
1077     double BSIM4jtweff;
1078     double BSIM4njts;
1079     double BSIM4njtssw;
1080     double BSIM4njtsswg;
1081     double BSIM4njtsd;
1082     double BSIM4njtsswd;
1083     double BSIM4njtsswgd;
1084     double BSIM4xtss;
1085     double BSIM4xtsd;
1086     double BSIM4xtssws;
1087     double BSIM4xtsswd;
1088     double BSIM4xtsswgs;
1089     double BSIM4xtsswgd;
1090     double BSIM4tnjts;
1091     double BSIM4tnjtssw;
1092     double BSIM4tnjtsswg;
1093     double BSIM4tnjtsd;
1094     double BSIM4tnjtsswd;
1095     double BSIM4tnjtsswgd;
1096     double BSIM4vtss;
1097     double BSIM4vtsd;
1098     double BSIM4vtssws;
1099     double BSIM4vtsswd;
1100     double BSIM4vtsswgs;
1101     double BSIM4vtsswgd;
1102 
1103     double BSIM4xrcrg1;
1104     double BSIM4xrcrg2;
1105     double BSIM4lambda;
1106     double BSIM4vtl;
1107     double BSIM4lc;
1108     double BSIM4xn;
1109     double BSIM4vfbsdoff;  /* S/D flatband offset voltage  */
1110     double BSIM4lintnoi;  /* lint offset for noise calculation  */
1111     double BSIM4tvfbsdoff;
1112 
1113     double BSIM4vfb;
1114     double BSIM4gbmin;
1115     double BSIM4rbdb;
1116     double BSIM4rbsb;
1117     double BSIM4rbpb;
1118     double BSIM4rbps;
1119     double BSIM4rbpd;
1120 
1121     double BSIM4rbps0;
1122     double BSIM4rbpsl;
1123     double BSIM4rbpsw;
1124     double BSIM4rbpsnf;
1125 
1126     double BSIM4rbpd0;
1127     double BSIM4rbpdl;
1128     double BSIM4rbpdw;
1129     double BSIM4rbpdnf;
1130 
1131     double BSIM4rbpbx0;
1132     double BSIM4rbpbxl;
1133     double BSIM4rbpbxw;
1134     double BSIM4rbpbxnf;
1135     double BSIM4rbpby0;
1136     double BSIM4rbpbyl;
1137     double BSIM4rbpbyw;
1138     double BSIM4rbpbynf;
1139 
1140     double BSIM4rbsbx0;
1141     double BSIM4rbsby0;
1142     double BSIM4rbdbx0;
1143     double BSIM4rbdby0;
1144 
1145     double BSIM4rbsdbxl;
1146     double BSIM4rbsdbxw;
1147     double BSIM4rbsdbxnf;
1148     double BSIM4rbsdbyl;
1149     double BSIM4rbsdbyw;
1150     double BSIM4rbsdbynf;
1151 
1152     double BSIM4tnoia;
1153     double BSIM4tnoib;
1154     double BSIM4tnoic;
1155     double BSIM4rnoia;
1156     double BSIM4rnoib;
1157     double BSIM4rnoic;
1158     double BSIM4ntnoi;
1159 
1160     /* CV model and Parasitics */
1161     double BSIM4cgsl;
1162     double BSIM4cgdl;
1163     double BSIM4ckappas;
1164     double BSIM4ckappad;
1165     double BSIM4cf;
1166     double BSIM4vfbcv;
1167     double BSIM4clc;
1168     double BSIM4cle;
1169     double BSIM4dwc;
1170     double BSIM4dlc;
1171     double BSIM4xw;
1172     double BSIM4xl;
1173     double BSIM4dlcig;
1174     double BSIM4dlcigd;
1175     double BSIM4dwj;
1176     double BSIM4noff;
1177     double BSIM4voffcv;
1178     double BSIM4acde;
1179     double BSIM4moin;
1180     double BSIM4tcj;
1181     double BSIM4tcjsw;
1182     double BSIM4tcjswg;
1183     double BSIM4tpb;
1184     double BSIM4tpbsw;
1185     double BSIM4tpbswg;
1186     double BSIM4dmcg;
1187     double BSIM4dmci;
1188     double BSIM4dmdg;
1189     double BSIM4dmcgt;
1190     double BSIM4xgw;
1191     double BSIM4xgl;
1192     double BSIM4rshg;
1193     double BSIM4ngcon;
1194 
1195     /* Length Dependence */
1196     double BSIM4lcdsc;
1197     double BSIM4lcdscb;
1198     double BSIM4lcdscd;
1199     double BSIM4lcit;
1200     double BSIM4lnfactor;
1201     double BSIM4lxj;
1202     double BSIM4lvsat;
1203     double BSIM4lat;
1204     double BSIM4la0;
1205     double BSIM4lags;
1206     double BSIM4la1;
1207     double BSIM4la2;
1208     double BSIM4lketa;
1209     double BSIM4lnsub;
1210     double BSIM4lndep;
1211     double BSIM4lnsd;
1212     double BSIM4lphin;
1213     double BSIM4lngate;
1214     double BSIM4lgamma1;
1215     double BSIM4lgamma2;
1216     double BSIM4lvbx;
1217     double BSIM4lvbm;
1218     double BSIM4lxt;
1219     double BSIM4lk1;
1220     double BSIM4lkt1;
1221     double BSIM4lkt1l;
1222     double BSIM4lkt2;
1223     double BSIM4lk2;
1224     double BSIM4lk3;
1225     double BSIM4lk3b;
1226     double BSIM4lw0;
1227     double BSIM4ldvtp0;
1228     double BSIM4ldvtp1;
1229     double BSIM4ldvtp2;        /* New DIBL/Rout */
1230     double BSIM4ldvtp3;
1231     double BSIM4ldvtp4;
1232     double BSIM4ldvtp5;
1233     double BSIM4llpe0;
1234     double BSIM4llpeb;
1235     double BSIM4ldvt0;
1236     double BSIM4ldvt1;
1237     double BSIM4ldvt2;
1238     double BSIM4ldvt0w;
1239     double BSIM4ldvt1w;
1240     double BSIM4ldvt2w;
1241     double BSIM4ldrout;
1242     double BSIM4ldsub;
1243     double BSIM4lvth0;
1244     double BSIM4lua;
1245     double BSIM4lua1;
1246     double BSIM4lub;
1247     double BSIM4lub1;
1248     double BSIM4luc;
1249     double BSIM4luc1;
1250     double BSIM4lud;
1251     double BSIM4lud1;
1252     double BSIM4lup;
1253     double BSIM4llp;
1254     double BSIM4lu0;
1255     double BSIM4leu;
1256     double BSIM4lucs;
1257     double BSIM4lute;
1258     double BSIM4lucste;
1259     double BSIM4lvoff;
1260     double BSIM4ltvoff;
1261     double BSIM4ltnfactor;         /* v4.7 Temp dep of leakage current */
1262     double BSIM4lteta0;           /* v4.7 temp dep of leakage current */
1263     double BSIM4ltvoffcv;        /* v4.7 temp dep of leakage current */
1264     double BSIM4lminv;
1265     double BSIM4lminvcv;
1266     double BSIM4ldelta;
1267     double BSIM4lrdsw;
1268     double BSIM4lrsw;
1269     double BSIM4lrdw;
1270     double BSIM4lprwg;
1271     double BSIM4lprwb;
1272     double BSIM4lprt;
1273     double BSIM4leta0;
1274     double BSIM4letab;
1275     double BSIM4lpclm;
1276     double BSIM4lpdibl1;
1277     double BSIM4lpdibl2;
1278     double BSIM4lpdiblb;
1279     double BSIM4lfprout;
1280     double BSIM4lpdits;
1281     double BSIM4lpditsd;
1282     double BSIM4lpscbe1;
1283     double BSIM4lpscbe2;
1284     double BSIM4lpvag;
1285     double BSIM4lwr;
1286     double BSIM4ldwg;
1287     double BSIM4ldwb;
1288     double BSIM4lb0;
1289     double BSIM4lb1;
1290     double BSIM4lalpha0;
1291     double BSIM4lalpha1;
1292     double BSIM4lbeta0;
1293     double BSIM4lvfb;
1294     double BSIM4lagidl;
1295     double BSIM4lbgidl;
1296     double BSIM4lcgidl;
1297     double BSIM4legidl;
1298     double BSIM4lfgidl; /* v4.7 New GIDL/GISL */
1299     double BSIM4lkgidl; /* v4.7 New GIDL/GISL */
1300     double BSIM4lrgidl; /* v4.7 New GIDL/GISL */
1301     double BSIM4lagisl;
1302     double BSIM4lbgisl;
1303     double BSIM4lcgisl;
1304     double BSIM4legisl;
1305     double BSIM4lfgisl; /* v4.7 New GIDL/GISL */
1306     double BSIM4lkgisl; /* v4.7 New GIDL/GISL */
1307     double BSIM4lrgisl; /* v4.7 New GIDL/GISL */
1308     double BSIM4laigc;
1309     double BSIM4lbigc;
1310     double BSIM4lcigc;
1311     double BSIM4laigsd;
1312     double BSIM4lbigsd;
1313     double BSIM4lcigsd;
1314     double BSIM4laigs;
1315     double BSIM4lbigs;
1316     double BSIM4lcigs;
1317     double BSIM4laigd;
1318     double BSIM4lbigd;
1319     double BSIM4lcigd;
1320     double BSIM4laigbacc;
1321     double BSIM4lbigbacc;
1322     double BSIM4lcigbacc;
1323     double BSIM4laigbinv;
1324     double BSIM4lbigbinv;
1325     double BSIM4lcigbinv;
1326     double BSIM4lnigc;
1327     double BSIM4lnigbacc;
1328     double BSIM4lnigbinv;
1329     double BSIM4lntox;
1330     double BSIM4leigbinv;
1331     double BSIM4lpigcd;
1332     double BSIM4lpoxedge;
1333     double BSIM4lxrcrg1;
1334     double BSIM4lxrcrg2;
1335     double BSIM4llambda;
1336     double BSIM4lvtl;
1337     double BSIM4lxn;
1338     double BSIM4lvfbsdoff;
1339     double BSIM4ltvfbsdoff;
1340 
1341     /* CV model */
1342     double BSIM4lcgsl;
1343     double BSIM4lcgdl;
1344     double BSIM4lckappas;
1345     double BSIM4lckappad;
1346     double BSIM4lcf;
1347     double BSIM4lclc;
1348     double BSIM4lcle;
1349     double BSIM4lvfbcv;
1350     double BSIM4lnoff;
1351     double BSIM4lvoffcv;
1352     double BSIM4lacde;
1353     double BSIM4lmoin;
1354 
1355     /* Width Dependence */
1356     double BSIM4wcdsc;
1357     double BSIM4wcdscb;
1358     double BSIM4wcdscd;
1359     double BSIM4wcit;
1360     double BSIM4wnfactor;
1361     double BSIM4wxj;
1362     double BSIM4wvsat;
1363     double BSIM4wat;
1364     double BSIM4wa0;
1365     double BSIM4wags;
1366     double BSIM4wa1;
1367     double BSIM4wa2;
1368     double BSIM4wketa;
1369     double BSIM4wnsub;
1370     double BSIM4wndep;
1371     double BSIM4wnsd;
1372     double BSIM4wphin;
1373     double BSIM4wngate;
1374     double BSIM4wgamma1;
1375     double BSIM4wgamma2;
1376     double BSIM4wvbx;
1377     double BSIM4wvbm;
1378     double BSIM4wxt;
1379     double BSIM4wk1;
1380     double BSIM4wkt1;
1381     double BSIM4wkt1l;
1382     double BSIM4wkt2;
1383     double BSIM4wk2;
1384     double BSIM4wk3;
1385     double BSIM4wk3b;
1386     double BSIM4ww0;
1387     double BSIM4wdvtp0;
1388     double BSIM4wdvtp1;
1389     double BSIM4wdvtp2;        /* New DIBL/Rout */
1390     double BSIM4wdvtp3;
1391     double BSIM4wdvtp4;
1392     double BSIM4wdvtp5;
1393     double BSIM4wlpe0;
1394     double BSIM4wlpeb;
1395     double BSIM4wdvt0;
1396     double BSIM4wdvt1;
1397     double BSIM4wdvt2;
1398     double BSIM4wdvt0w;
1399     double BSIM4wdvt1w;
1400     double BSIM4wdvt2w;
1401     double BSIM4wdrout;
1402     double BSIM4wdsub;
1403     double BSIM4wvth0;
1404     double BSIM4wua;
1405     double BSIM4wua1;
1406     double BSIM4wub;
1407     double BSIM4wub1;
1408     double BSIM4wuc;
1409     double BSIM4wuc1;
1410     double BSIM4wud;
1411     double BSIM4wud1;
1412     double BSIM4wup;
1413     double BSIM4wlp;
1414     double BSIM4wu0;
1415     double BSIM4weu;
1416     double BSIM4wucs;
1417     double BSIM4wute;
1418     double BSIM4wucste;
1419     double BSIM4wvoff;
1420     double BSIM4wtvoff;
1421     double BSIM4wtnfactor;         /* v4.7 Temp dep of leakage current */
1422     double BSIM4wteta0;           /* v4.7 temp dep of leakage current */
1423     double BSIM4wtvoffcv;        /* v4.7 temp dep of leakage current */
1424     double BSIM4wminv;
1425     double BSIM4wminvcv;
1426     double BSIM4wdelta;
1427     double BSIM4wrdsw;
1428     double BSIM4wrsw;
1429     double BSIM4wrdw;
1430     double BSIM4wprwg;
1431     double BSIM4wprwb;
1432     double BSIM4wprt;
1433     double BSIM4weta0;
1434     double BSIM4wetab;
1435     double BSIM4wpclm;
1436     double BSIM4wpdibl1;
1437     double BSIM4wpdibl2;
1438     double BSIM4wpdiblb;
1439     double BSIM4wfprout;
1440     double BSIM4wpdits;
1441     double BSIM4wpditsd;
1442     double BSIM4wpscbe1;
1443     double BSIM4wpscbe2;
1444     double BSIM4wpvag;
1445     double BSIM4wwr;
1446     double BSIM4wdwg;
1447     double BSIM4wdwb;
1448     double BSIM4wb0;
1449     double BSIM4wb1;
1450     double BSIM4walpha0;
1451     double BSIM4walpha1;
1452     double BSIM4wbeta0;
1453     double BSIM4wvfb;
1454     double BSIM4wagidl;
1455     double BSIM4wbgidl;
1456     double BSIM4wcgidl;
1457     double BSIM4wegidl;
1458     double BSIM4wfgidl; /* v4.7 New GIDL/GISL */
1459     double BSIM4wkgidl; /* v4.7 New GIDL/GISL */
1460     double BSIM4wrgidl; /* v4.7 New GIDL/GISL */
1461     double BSIM4wagisl;
1462     double BSIM4wbgisl;
1463     double BSIM4wcgisl;
1464     double BSIM4wegisl;
1465     double BSIM4wfgisl; /* v4.7 New GIDL/GISL */
1466     double BSIM4wkgisl; /* v4.7 New GIDL/GISL */
1467     double BSIM4wrgisl; /* v4.7 New GIDL/GISL */
1468     double BSIM4waigc;
1469     double BSIM4wbigc;
1470     double BSIM4wcigc;
1471     double BSIM4waigsd;
1472     double BSIM4wbigsd;
1473     double BSIM4wcigsd;
1474     double BSIM4waigs;
1475     double BSIM4wbigs;
1476     double BSIM4wcigs;
1477     double BSIM4waigd;
1478     double BSIM4wbigd;
1479     double BSIM4wcigd;
1480     double BSIM4waigbacc;
1481     double BSIM4wbigbacc;
1482     double BSIM4wcigbacc;
1483     double BSIM4waigbinv;
1484     double BSIM4wbigbinv;
1485     double BSIM4wcigbinv;
1486     double BSIM4wnigc;
1487     double BSIM4wnigbacc;
1488     double BSIM4wnigbinv;
1489     double BSIM4wntox;
1490     double BSIM4weigbinv;
1491     double BSIM4wpigcd;
1492     double BSIM4wpoxedge;
1493     double BSIM4wxrcrg1;
1494     double BSIM4wxrcrg2;
1495     double BSIM4wlambda;
1496     double BSIM4wvtl;
1497     double BSIM4wxn;
1498     double BSIM4wvfbsdoff;
1499     double BSIM4wtvfbsdoff;
1500 
1501     /* CV model */
1502     double BSIM4wcgsl;
1503     double BSIM4wcgdl;
1504     double BSIM4wckappas;
1505     double BSIM4wckappad;
1506     double BSIM4wcf;
1507     double BSIM4wclc;
1508     double BSIM4wcle;
1509     double BSIM4wvfbcv;
1510     double BSIM4wnoff;
1511     double BSIM4wvoffcv;
1512     double BSIM4wacde;
1513     double BSIM4wmoin;
1514 
1515     /* Cross-term Dependence */
1516     double BSIM4pcdsc;
1517     double BSIM4pcdscb;
1518     double BSIM4pcdscd;
1519     double BSIM4pcit;
1520     double BSIM4pnfactor;
1521     double BSIM4pxj;
1522     double BSIM4pvsat;
1523     double BSIM4pat;
1524     double BSIM4pa0;
1525     double BSIM4pags;
1526     double BSIM4pa1;
1527     double BSIM4pa2;
1528     double BSIM4pketa;
1529     double BSIM4pnsub;
1530     double BSIM4pndep;
1531     double BSIM4pnsd;
1532     double BSIM4pphin;
1533     double BSIM4pngate;
1534     double BSIM4pgamma1;
1535     double BSIM4pgamma2;
1536     double BSIM4pvbx;
1537     double BSIM4pvbm;
1538     double BSIM4pxt;
1539     double BSIM4pk1;
1540     double BSIM4pkt1;
1541     double BSIM4pkt1l;
1542     double BSIM4pkt2;
1543     double BSIM4pk2;
1544     double BSIM4pk3;
1545     double BSIM4pk3b;
1546     double BSIM4pw0;
1547     double BSIM4pdvtp0;
1548     double BSIM4pdvtp1;
1549     double BSIM4pdvtp2;        /* New DIBL/Rout */
1550     double BSIM4pdvtp3;
1551     double BSIM4pdvtp4;
1552     double BSIM4pdvtp5;
1553     double BSIM4plpe0;
1554     double BSIM4plpeb;
1555     double BSIM4pdvt0;
1556     double BSIM4pdvt1;
1557     double BSIM4pdvt2;
1558     double BSIM4pdvt0w;
1559     double BSIM4pdvt1w;
1560     double BSIM4pdvt2w;
1561     double BSIM4pdrout;
1562     double BSIM4pdsub;
1563     double BSIM4pvth0;
1564     double BSIM4pua;
1565     double BSIM4pua1;
1566     double BSIM4pub;
1567     double BSIM4pub1;
1568     double BSIM4puc;
1569     double BSIM4puc1;
1570     double BSIM4pud;
1571     double BSIM4pud1;
1572     double BSIM4pup;
1573     double BSIM4plp;
1574     double BSIM4pu0;
1575     double BSIM4peu;
1576     double BSIM4pucs;
1577     double BSIM4pute;
1578     double BSIM4pucste;
1579     double BSIM4pvoff;
1580     double BSIM4ptvoff;
1581     double BSIM4ptnfactor;         /* v4.7 Temp dep of leakage current */
1582     double BSIM4pteta0;           /* v4.7 temp dep of leakage current */
1583     double BSIM4ptvoffcv;        /* v4.7 temp dep of leakage current */
1584     double BSIM4pminv;
1585     double BSIM4pminvcv;
1586     double BSIM4pdelta;
1587     double BSIM4prdsw;
1588     double BSIM4prsw;
1589     double BSIM4prdw;
1590     double BSIM4pprwg;
1591     double BSIM4pprwb;
1592     double BSIM4pprt;
1593     double BSIM4peta0;
1594     double BSIM4petab;
1595     double BSIM4ppclm;
1596     double BSIM4ppdibl1;
1597     double BSIM4ppdibl2;
1598     double BSIM4ppdiblb;
1599     double BSIM4pfprout;
1600     double BSIM4ppdits;
1601     double BSIM4ppditsd;
1602     double BSIM4ppscbe1;
1603     double BSIM4ppscbe2;
1604     double BSIM4ppvag;
1605     double BSIM4pwr;
1606     double BSIM4pdwg;
1607     double BSIM4pdwb;
1608     double BSIM4pb0;
1609     double BSIM4pb1;
1610     double BSIM4palpha0;
1611     double BSIM4palpha1;
1612     double BSIM4pbeta0;
1613     double BSIM4pvfb;
1614     double BSIM4pagidl;
1615     double BSIM4pbgidl;
1616     double BSIM4pcgidl;
1617     double BSIM4pegidl;
1618     double BSIM4pfgidl; /* v4.7 New GIDL/GISL */
1619     double BSIM4pkgidl; /* v4.7 New GIDL/GISL */
1620     double BSIM4prgidl; /* v4.7 New GIDL/GISL */
1621     double BSIM4pagisl;
1622     double BSIM4pbgisl;
1623     double BSIM4pcgisl;
1624     double BSIM4pegisl;
1625     double BSIM4pfgisl; /* v4.7 New GIDL/GISL */
1626     double BSIM4pkgisl; /* v4.7 New GIDL/GISL */
1627     double BSIM4prgisl; /* v4.7 New GIDL/GISL */
1628     double BSIM4paigc;
1629     double BSIM4pbigc;
1630     double BSIM4pcigc;
1631     double BSIM4paigsd;
1632     double BSIM4pbigsd;
1633     double BSIM4pcigsd;
1634     double BSIM4paigs;
1635     double BSIM4pbigs;
1636     double BSIM4pcigs;
1637     double BSIM4paigd;
1638     double BSIM4pbigd;
1639     double BSIM4pcigd;
1640     double BSIM4paigbacc;
1641     double BSIM4pbigbacc;
1642     double BSIM4pcigbacc;
1643     double BSIM4paigbinv;
1644     double BSIM4pbigbinv;
1645     double BSIM4pcigbinv;
1646     double BSIM4pnigc;
1647     double BSIM4pnigbacc;
1648     double BSIM4pnigbinv;
1649     double BSIM4pntox;
1650     double BSIM4peigbinv;
1651     double BSIM4ppigcd;
1652     double BSIM4ppoxedge;
1653     double BSIM4pxrcrg1;
1654     double BSIM4pxrcrg2;
1655     double BSIM4plambda;
1656     double BSIM4pvtl;
1657     double BSIM4pxn;
1658     double BSIM4pvfbsdoff;
1659     double BSIM4ptvfbsdoff;
1660 
1661     /* CV model */
1662     double BSIM4pcgsl;
1663     double BSIM4pcgdl;
1664     double BSIM4pckappas;
1665     double BSIM4pckappad;
1666     double BSIM4pcf;
1667     double BSIM4pclc;
1668     double BSIM4pcle;
1669     double BSIM4pvfbcv;
1670     double BSIM4pnoff;
1671     double BSIM4pvoffcv;
1672     double BSIM4pacde;
1673     double BSIM4pmoin;
1674 
1675     double BSIM4tnom;
1676     double BSIM4cgso;
1677     double BSIM4cgdo;
1678     double BSIM4cgbo;
1679     double BSIM4xpart;
1680     double BSIM4cFringOut;
1681     double BSIM4cFringMax;
1682 
1683     double BSIM4sheetResistance;
1684     double BSIM4SjctSatCurDensity;
1685     double BSIM4DjctSatCurDensity;
1686     double BSIM4SjctSidewallSatCurDensity;
1687     double BSIM4DjctSidewallSatCurDensity;
1688     double BSIM4SjctGateSidewallSatCurDensity;
1689     double BSIM4DjctGateSidewallSatCurDensity;
1690     double BSIM4SbulkJctPotential;
1691     double BSIM4DbulkJctPotential;
1692     double BSIM4SbulkJctBotGradingCoeff;
1693     double BSIM4DbulkJctBotGradingCoeff;
1694     double BSIM4SbulkJctSideGradingCoeff;
1695     double BSIM4DbulkJctSideGradingCoeff;
1696     double BSIM4SbulkJctGateSideGradingCoeff;
1697     double BSIM4DbulkJctGateSideGradingCoeff;
1698     double BSIM4SsidewallJctPotential;
1699     double BSIM4DsidewallJctPotential;
1700     double BSIM4SGatesidewallJctPotential;
1701     double BSIM4DGatesidewallJctPotential;
1702     double BSIM4SunitAreaJctCap;
1703     double BSIM4DunitAreaJctCap;
1704     double BSIM4SunitLengthSidewallJctCap;
1705     double BSIM4DunitLengthSidewallJctCap;
1706     double BSIM4SunitLengthGateSidewallJctCap;
1707     double BSIM4DunitLengthGateSidewallJctCap;
1708     double BSIM4SjctEmissionCoeff;
1709     double BSIM4DjctEmissionCoeff;
1710     double BSIM4SjctTempExponent;
1711     double BSIM4DjctTempExponent;
1712     double BSIM4njtsstemp;
1713     double BSIM4njtsswstemp;
1714     double BSIM4njtsswgstemp;
1715     double BSIM4njtsdtemp;
1716     double BSIM4njtsswdtemp;
1717     double BSIM4njtsswgdtemp;
1718 
1719     double BSIM4Lint;
1720     double BSIM4Ll;
1721     double BSIM4Llc;
1722     double BSIM4Lln;
1723     double BSIM4Lw;
1724     double BSIM4Lwc;
1725     double BSIM4Lwn;
1726     double BSIM4Lwl;
1727     double BSIM4Lwlc;
1728     double BSIM4Lmin;
1729     double BSIM4Lmax;
1730 
1731     double BSIM4Wint;
1732     double BSIM4Wl;
1733     double BSIM4Wlc;
1734     double BSIM4Wln;
1735     double BSIM4Ww;
1736     double BSIM4Wwc;
1737     double BSIM4Wwn;
1738     double BSIM4Wwl;
1739     double BSIM4Wwlc;
1740     double BSIM4Wmin;
1741     double BSIM4Wmax;
1742 
1743     /* added for stress effect */
1744     double BSIM4saref;
1745     double BSIM4sbref;
1746     double BSIM4wlod;
1747     double BSIM4ku0;
1748     double BSIM4kvsat;
1749     double BSIM4kvth0;
1750     double BSIM4tku0;
1751     double BSIM4llodku0;
1752     double BSIM4wlodku0;
1753     double BSIM4llodvth;
1754     double BSIM4wlodvth;
1755     double BSIM4lku0;
1756     double BSIM4wku0;
1757     double BSIM4pku0;
1758     double BSIM4lkvth0;
1759     double BSIM4wkvth0;
1760     double BSIM4pkvth0;
1761     double BSIM4stk2;
1762     double BSIM4lodk2;
1763     double BSIM4steta0;
1764     double BSIM4lodeta0;
1765 
1766     double BSIM4web;
1767     double BSIM4wec;
1768     double BSIM4kvth0we;
1769     double BSIM4k2we;
1770     double BSIM4ku0we;
1771     double BSIM4scref;
1772     double BSIM4wpemod;
1773     double BSIM4lkvth0we;
1774     double BSIM4lk2we;
1775     double BSIM4lku0we;
1776     double BSIM4wkvth0we;
1777     double BSIM4wk2we;
1778     double BSIM4wku0we;
1779     double BSIM4pkvth0we;
1780     double BSIM4pk2we;
1781     double BSIM4pku0we;
1782 
1783 /* Pre-calculated constants
1784  * move to size-dependent param */
1785     double BSIM4Eg0;
1786     double BSIM4vtm;
1787     double BSIM4vtm0;
1788     double BSIM4coxe;
1789     double BSIM4coxp;
1790     double BSIM4cof1;
1791     double BSIM4cof2;
1792     double BSIM4cof3;
1793     double BSIM4cof4;
1794     double BSIM4vcrit;
1795     double BSIM4factor1;
1796     double BSIM4PhiBS;
1797     double BSIM4PhiBSWS;
1798     double BSIM4PhiBSWGS;
1799     double BSIM4SjctTempSatCurDensity;
1800     double BSIM4SjctSidewallTempSatCurDensity;
1801     double BSIM4SjctGateSidewallTempSatCurDensity;
1802     double BSIM4PhiBD;
1803     double BSIM4PhiBSWD;
1804     double BSIM4PhiBSWGD;
1805     double BSIM4DjctTempSatCurDensity;
1806     double BSIM4DjctSidewallTempSatCurDensity;
1807     double BSIM4DjctGateSidewallTempSatCurDensity;
1808     double BSIM4SunitAreaTempJctCap;
1809     double BSIM4DunitAreaTempJctCap;
1810     double BSIM4SunitLengthSidewallTempJctCap;
1811     double BSIM4DunitLengthSidewallTempJctCap;
1812     double BSIM4SunitLengthGateSidewallTempJctCap;
1813     double BSIM4DunitLengthGateSidewallTempJctCap;
1814 
1815     double BSIM4oxideTrapDensityA;
1816     double BSIM4oxideTrapDensityB;
1817     double BSIM4oxideTrapDensityC;
1818     double BSIM4em;
1819     double BSIM4ef;
1820     double BSIM4af;
1821     double BSIM4kf;
1822 
1823     double BSIM4vgsMax;
1824     double BSIM4vgdMax;
1825     double BSIM4vgbMax;
1826     double BSIM4vdsMax;
1827     double BSIM4vbsMax;
1828     double BSIM4vbdMax;
1829     double BSIM4vgsrMax;
1830     double BSIM4vgdrMax;
1831     double BSIM4vgbrMax;
1832     double BSIM4vbsrMax;
1833     double BSIM4vbdrMax;
1834 
1835     struct bsim4SizeDependParam *pSizeDependParamKnot;
1836 
1837 #ifdef USE_OMP
1838     int BSIM4InstCount;
1839     struct sBSIM4instance **BSIM4InstanceArray;
1840 #endif
1841 
1842     /* Flags */
1843     unsigned  BSIM4mobModGiven :1;
1844     unsigned  BSIM4binUnitGiven :1;
1845     unsigned  BSIM4cvchargeModGiven :1;
1846     unsigned  BSIM4capModGiven :1;
1847     unsigned  BSIM4dioModGiven :1;
1848     unsigned  BSIM4rdsModGiven :1;
1849     unsigned  BSIM4rbodyModGiven :1;
1850     unsigned  BSIM4rgateModGiven :1;
1851     unsigned  BSIM4perModGiven :1;
1852     unsigned  BSIM4geoModGiven :1;
1853     unsigned  BSIM4rgeoModGiven :1;
1854     unsigned  BSIM4paramChkGiven :1;
1855     unsigned  BSIM4trnqsModGiven :1;
1856     unsigned  BSIM4acnqsModGiven :1;
1857     unsigned  BSIM4fnoiModGiven :1;
1858     unsigned  BSIM4tnoiModGiven :1;
1859     unsigned  BSIM4mtrlModGiven :1;
1860     unsigned  BSIM4mtrlCompatModGiven :1;
1861     unsigned  BSIM4gidlModGiven :1;    /* v4.7 New GIDL/GISL */
1862     unsigned  BSIM4igcModGiven :1;
1863     unsigned  BSIM4igbModGiven :1;
1864     unsigned  BSIM4tempModGiven :1;
1865     unsigned  BSIM4typeGiven   :1;
1866     unsigned  BSIM4toxrefGiven   :1;
1867     unsigned  BSIM4eotGiven   :1;
1868     unsigned  BSIM4vddeotGiven   :1;
1869     unsigned  BSIM4tempeotGiven  :1;
1870     unsigned  BSIM4leffeotGiven  :1;
1871     unsigned  BSIM4weffeotGiven  :1;
1872     unsigned  BSIM4adosGiven   :1;
1873     unsigned  BSIM4bdosGiven   :1;
1874     unsigned  BSIM4toxeGiven   :1;
1875     unsigned  BSIM4toxpGiven   :1;
1876     unsigned  BSIM4toxmGiven   :1;
1877     unsigned  BSIM4dtoxGiven   :1;
1878     unsigned  BSIM4epsroxGiven   :1;
1879     unsigned  BSIM4versionGiven   :1;
1880     unsigned  BSIM4cdscGiven   :1;
1881     unsigned  BSIM4cdscbGiven   :1;
1882     unsigned  BSIM4cdscdGiven   :1;
1883     unsigned  BSIM4citGiven   :1;
1884     unsigned  BSIM4nfactorGiven   :1;
1885     unsigned  BSIM4xjGiven   :1;
1886     unsigned  BSIM4vsatGiven   :1;
1887     unsigned  BSIM4atGiven   :1;
1888     unsigned  BSIM4a0Given   :1;
1889     unsigned  BSIM4agsGiven   :1;
1890     unsigned  BSIM4a1Given   :1;
1891     unsigned  BSIM4a2Given   :1;
1892     unsigned  BSIM4ketaGiven   :1;
1893     unsigned  BSIM4nsubGiven   :1;
1894     unsigned  BSIM4phigGiven   :1;
1895     unsigned  BSIM4epsrgateGiven   :1;
1896     unsigned  BSIM4easubGiven   :1;
1897     unsigned  BSIM4epsrsubGiven   :1;
1898     unsigned  BSIM4ni0subGiven   :1;
1899     unsigned  BSIM4bg0subGiven   :1;
1900     unsigned  BSIM4tbgasubGiven   :1;
1901     unsigned  BSIM4tbgbsubGiven   :1;
1902     unsigned  BSIM4ndepGiven   :1;
1903     unsigned  BSIM4nsdGiven    :1;
1904     unsigned  BSIM4phinGiven   :1;
1905     unsigned  BSIM4ngateGiven   :1;
1906     unsigned  BSIM4gamma1Given   :1;
1907     unsigned  BSIM4gamma2Given   :1;
1908     unsigned  BSIM4vbxGiven   :1;
1909     unsigned  BSIM4vbmGiven   :1;
1910     unsigned  BSIM4xtGiven   :1;
1911     unsigned  BSIM4k1Given   :1;
1912     unsigned  BSIM4kt1Given   :1;
1913     unsigned  BSIM4kt1lGiven   :1;
1914     unsigned  BSIM4kt2Given   :1;
1915     unsigned  BSIM4k2Given   :1;
1916     unsigned  BSIM4k3Given   :1;
1917     unsigned  BSIM4k3bGiven   :1;
1918     unsigned  BSIM4w0Given   :1;
1919     unsigned  BSIM4dvtp0Given :1;
1920     unsigned  BSIM4dvtp1Given :1;
1921     unsigned  BSIM4dvtp2Given :1;        /* New DIBL/Rout */
1922     unsigned  BSIM4dvtp3Given :1;
1923     unsigned  BSIM4dvtp4Given :1;
1924     unsigned  BSIM4dvtp5Given :1;
1925     unsigned  BSIM4lpe0Given   :1;
1926     unsigned  BSIM4lpebGiven   :1;
1927     unsigned  BSIM4dvt0Given   :1;
1928     unsigned  BSIM4dvt1Given   :1;
1929     unsigned  BSIM4dvt2Given   :1;
1930     unsigned  BSIM4dvt0wGiven   :1;
1931     unsigned  BSIM4dvt1wGiven   :1;
1932     unsigned  BSIM4dvt2wGiven   :1;
1933     unsigned  BSIM4droutGiven   :1;
1934     unsigned  BSIM4dsubGiven   :1;
1935     unsigned  BSIM4vth0Given   :1;
1936     unsigned  BSIM4euGiven   :1;
1937     unsigned  BSIM4ucsGiven  :1;
1938     unsigned  BSIM4uaGiven   :1;
1939     unsigned  BSIM4ua1Given   :1;
1940     unsigned  BSIM4ubGiven   :1;
1941     unsigned  BSIM4ub1Given   :1;
1942     unsigned  BSIM4ucGiven   :1;
1943     unsigned  BSIM4uc1Given   :1;
1944     unsigned  BSIM4udGiven     :1;
1945     unsigned  BSIM4ud1Given     :1;
1946     unsigned  BSIM4upGiven     :1;
1947     unsigned  BSIM4lpGiven     :1;
1948     unsigned  BSIM4u0Given   :1;
1949     unsigned  BSIM4uteGiven   :1;
1950     unsigned  BSIM4ucsteGiven :1;
1951     unsigned  BSIM4voffGiven   :1;
1952     unsigned  BSIM4tvoffGiven   :1;
1953     unsigned  BSIM4tnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
1954     unsigned  BSIM4teta0Given   :1;           /* v4.7 temp dep of leakage current */
1955     unsigned  BSIM4tvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
1956     unsigned  BSIM4vofflGiven  :1;
1957     unsigned  BSIM4voffcvlGiven  :1;
1958     unsigned  BSIM4minvGiven   :1;
1959     unsigned  BSIM4minvcvGiven   :1;
1960     unsigned  BSIM4rdswGiven   :1;
1961     unsigned  BSIM4rdswminGiven :1;
1962     unsigned  BSIM4rdwminGiven :1;
1963     unsigned  BSIM4rswminGiven :1;
1964     unsigned  BSIM4rswGiven   :1;
1965     unsigned  BSIM4rdwGiven   :1;
1966     unsigned  BSIM4prwgGiven   :1;
1967     unsigned  BSIM4prwbGiven   :1;
1968     unsigned  BSIM4prtGiven   :1;
1969     unsigned  BSIM4eta0Given   :1;
1970     unsigned  BSIM4etabGiven   :1;
1971     unsigned  BSIM4pclmGiven   :1;
1972     unsigned  BSIM4pdibl1Given   :1;
1973     unsigned  BSIM4pdibl2Given   :1;
1974     unsigned  BSIM4pdiblbGiven   :1;
1975     unsigned  BSIM4fproutGiven   :1;
1976     unsigned  BSIM4pditsGiven    :1;
1977     unsigned  BSIM4pditsdGiven    :1;
1978     unsigned  BSIM4pditslGiven    :1;
1979     unsigned  BSIM4pscbe1Given   :1;
1980     unsigned  BSIM4pscbe2Given   :1;
1981     unsigned  BSIM4pvagGiven   :1;
1982     unsigned  BSIM4deltaGiven  :1;
1983     unsigned  BSIM4wrGiven   :1;
1984     unsigned  BSIM4dwgGiven   :1;
1985     unsigned  BSIM4dwbGiven   :1;
1986     unsigned  BSIM4b0Given   :1;
1987     unsigned  BSIM4b1Given   :1;
1988     unsigned  BSIM4alpha0Given   :1;
1989     unsigned  BSIM4alpha1Given   :1;
1990     unsigned  BSIM4beta0Given   :1;
1991     unsigned  BSIM4agidlGiven   :1;
1992     unsigned  BSIM4bgidlGiven   :1;
1993     unsigned  BSIM4cgidlGiven   :1;
1994     unsigned  BSIM4egidlGiven   :1;
1995     unsigned  BSIM4fgidlGiven   :1;    /* v4.7 New GIDL/GISL */
1996     unsigned  BSIM4kgidlGiven   :1;    /* v4.7 New GIDL/GISL */
1997     unsigned  BSIM4rgidlGiven   :1;    /* v4.7 New GIDL/GISL */
1998     unsigned  BSIM4agislGiven   :1;
1999     unsigned  BSIM4bgislGiven   :1;
2000     unsigned  BSIM4cgislGiven   :1;
2001     unsigned  BSIM4egislGiven   :1;
2002     unsigned  BSIM4fgislGiven   :1;    /* v4.7 New GIDL/GISL */
2003     unsigned  BSIM4kgislGiven   :1;    /* v4.7 New GIDL/GISL */
2004     unsigned  BSIM4rgislGiven   :1;    /* v4.7 New GIDL/GISL */
2005     unsigned  BSIM4aigcGiven   :1;
2006     unsigned  BSIM4bigcGiven   :1;
2007     unsigned  BSIM4cigcGiven   :1;
2008     unsigned  BSIM4aigsdGiven   :1;
2009     unsigned  BSIM4bigsdGiven   :1;
2010     unsigned  BSIM4cigsdGiven   :1;
2011     unsigned  BSIM4aigsGiven   :1;
2012     unsigned  BSIM4bigsGiven   :1;
2013     unsigned  BSIM4cigsGiven   :1;
2014     unsigned  BSIM4aigdGiven   :1;
2015     unsigned  BSIM4bigdGiven   :1;
2016     unsigned  BSIM4cigdGiven   :1;
2017     unsigned  BSIM4aigbaccGiven   :1;
2018     unsigned  BSIM4bigbaccGiven   :1;
2019     unsigned  BSIM4cigbaccGiven   :1;
2020     unsigned  BSIM4aigbinvGiven   :1;
2021     unsigned  BSIM4bigbinvGiven   :1;
2022     unsigned  BSIM4cigbinvGiven   :1;
2023     unsigned  BSIM4nigcGiven   :1;
2024     unsigned  BSIM4nigbinvGiven   :1;
2025     unsigned  BSIM4nigbaccGiven   :1;
2026     unsigned  BSIM4ntoxGiven   :1;
2027     unsigned  BSIM4eigbinvGiven   :1;
2028     unsigned  BSIM4pigcdGiven   :1;
2029     unsigned  BSIM4poxedgeGiven   :1;
2030     unsigned  BSIM4ijthdfwdGiven  :1;
2031     unsigned  BSIM4ijthsfwdGiven  :1;
2032     unsigned  BSIM4ijthdrevGiven  :1;
2033     unsigned  BSIM4ijthsrevGiven  :1;
2034     unsigned  BSIM4xjbvdGiven   :1;
2035     unsigned  BSIM4xjbvsGiven   :1;
2036     unsigned  BSIM4bvdGiven   :1;
2037     unsigned  BSIM4bvsGiven   :1;
2038 
2039     unsigned  BSIM4jtssGiven   :1;
2040     unsigned  BSIM4jtsdGiven   :1;
2041     unsigned  BSIM4jtsswsGiven   :1;
2042     unsigned  BSIM4jtsswdGiven   :1;
2043     unsigned  BSIM4jtsswgsGiven   :1;
2044     unsigned  BSIM4jtsswgdGiven   :1;
2045         unsigned  BSIM4jtweffGiven    :1;
2046     unsigned  BSIM4njtsGiven   :1;
2047     unsigned  BSIM4njtsswGiven   :1;
2048     unsigned  BSIM4njtsswgGiven   :1;
2049     unsigned  BSIM4njtsdGiven   :1;
2050     unsigned  BSIM4njtsswdGiven   :1;
2051     unsigned  BSIM4njtsswgdGiven   :1;
2052     unsigned  BSIM4xtssGiven   :1;
2053     unsigned  BSIM4xtsdGiven   :1;
2054     unsigned  BSIM4xtsswsGiven   :1;
2055     unsigned  BSIM4xtsswdGiven   :1;
2056     unsigned  BSIM4xtsswgsGiven   :1;
2057     unsigned  BSIM4xtsswgdGiven   :1;
2058     unsigned  BSIM4tnjtsGiven   :1;
2059     unsigned  BSIM4tnjtsswGiven   :1;
2060     unsigned  BSIM4tnjtsswgGiven   :1;
2061     unsigned  BSIM4tnjtsdGiven   :1;
2062     unsigned  BSIM4tnjtsswdGiven   :1;
2063     unsigned  BSIM4tnjtsswgdGiven   :1;
2064     unsigned  BSIM4vtssGiven   :1;
2065     unsigned  BSIM4vtsdGiven   :1;
2066     unsigned  BSIM4vtsswsGiven   :1;
2067     unsigned  BSIM4vtsswdGiven   :1;
2068     unsigned  BSIM4vtsswgsGiven   :1;
2069     unsigned  BSIM4vtsswgdGiven   :1;
2070 
2071     unsigned  BSIM4vfbGiven   :1;
2072     unsigned  BSIM4gbminGiven :1;
2073     unsigned  BSIM4rbdbGiven :1;
2074     unsigned  BSIM4rbsbGiven :1;
2075     unsigned  BSIM4rbpsGiven :1;
2076     unsigned  BSIM4rbpdGiven :1;
2077     unsigned  BSIM4rbpbGiven :1;
2078 
2079     unsigned BSIM4rbps0Given :1;
2080     unsigned BSIM4rbpslGiven :1;
2081     unsigned BSIM4rbpswGiven :1;
2082     unsigned BSIM4rbpsnfGiven :1;
2083 
2084     unsigned BSIM4rbpd0Given :1;
2085     unsigned BSIM4rbpdlGiven :1;
2086     unsigned BSIM4rbpdwGiven :1;
2087     unsigned BSIM4rbpdnfGiven :1;
2088 
2089     unsigned BSIM4rbpbx0Given :1;
2090     unsigned BSIM4rbpbxlGiven :1;
2091     unsigned BSIM4rbpbxwGiven :1;
2092     unsigned BSIM4rbpbxnfGiven :1;
2093     unsigned BSIM4rbpby0Given :1;
2094     unsigned BSIM4rbpbylGiven :1;
2095     unsigned BSIM4rbpbywGiven :1;
2096     unsigned BSIM4rbpbynfGiven :1;
2097 
2098     unsigned BSIM4rbsbx0Given :1;
2099     unsigned BSIM4rbsby0Given :1;
2100     unsigned BSIM4rbdbx0Given :1;
2101     unsigned BSIM4rbdby0Given :1;
2102 
2103     unsigned BSIM4rbsdbxlGiven :1;
2104     unsigned BSIM4rbsdbxwGiven :1;
2105     unsigned BSIM4rbsdbxnfGiven :1;
2106     unsigned BSIM4rbsdbylGiven :1;
2107     unsigned BSIM4rbsdbywGiven :1;
2108     unsigned BSIM4rbsdbynfGiven :1;
2109 
2110     unsigned  BSIM4xrcrg1Given   :1;
2111     unsigned  BSIM4xrcrg2Given   :1;
2112     unsigned  BSIM4tnoiaGiven    :1;
2113     unsigned  BSIM4tnoibGiven    :1;
2114     unsigned  BSIM4tnoicGiven    :1;
2115     unsigned  BSIM4rnoiaGiven    :1;
2116     unsigned  BSIM4rnoibGiven    :1;
2117     unsigned  BSIM4rnoicGiven    :1;
2118     unsigned  BSIM4ntnoiGiven    :1;
2119 
2120     unsigned  BSIM4lambdaGiven    :1;
2121     unsigned  BSIM4vtlGiven    :1;
2122     unsigned  BSIM4lcGiven    :1;
2123     unsigned  BSIM4xnGiven    :1;
2124     unsigned  BSIM4vfbsdoffGiven    :1;
2125     unsigned  BSIM4lintnoiGiven    :1;
2126     unsigned  BSIM4tvfbsdoffGiven    :1;
2127 
2128     /* CV model and parasitics */
2129     unsigned  BSIM4cgslGiven   :1;
2130     unsigned  BSIM4cgdlGiven   :1;
2131     unsigned  BSIM4ckappasGiven   :1;
2132     unsigned  BSIM4ckappadGiven   :1;
2133     unsigned  BSIM4cfGiven   :1;
2134     unsigned  BSIM4vfbcvGiven   :1;
2135     unsigned  BSIM4clcGiven   :1;
2136     unsigned  BSIM4cleGiven   :1;
2137     unsigned  BSIM4dwcGiven   :1;
2138     unsigned  BSIM4dlcGiven   :1;
2139     unsigned  BSIM4xwGiven    :1;
2140     unsigned  BSIM4xlGiven    :1;
2141     unsigned  BSIM4dlcigGiven   :1;
2142     unsigned  BSIM4dlcigdGiven   :1;
2143     unsigned  BSIM4dwjGiven   :1;
2144     unsigned  BSIM4noffGiven  :1;
2145     unsigned  BSIM4voffcvGiven :1;
2146     unsigned  BSIM4acdeGiven  :1;
2147     unsigned  BSIM4moinGiven  :1;
2148     unsigned  BSIM4tcjGiven   :1;
2149     unsigned  BSIM4tcjswGiven :1;
2150     unsigned  BSIM4tcjswgGiven :1;
2151     unsigned  BSIM4tpbGiven    :1;
2152     unsigned  BSIM4tpbswGiven  :1;
2153     unsigned  BSIM4tpbswgGiven :1;
2154     unsigned  BSIM4dmcgGiven :1;
2155     unsigned  BSIM4dmciGiven :1;
2156     unsigned  BSIM4dmdgGiven :1;
2157     unsigned  BSIM4dmcgtGiven :1;
2158     unsigned  BSIM4xgwGiven :1;
2159     unsigned  BSIM4xglGiven :1;
2160     unsigned  BSIM4rshgGiven :1;
2161     unsigned  BSIM4ngconGiven :1;
2162 
2163 
2164     /* Length dependence */
2165     unsigned  BSIM4lcdscGiven   :1;
2166     unsigned  BSIM4lcdscbGiven   :1;
2167     unsigned  BSIM4lcdscdGiven   :1;
2168     unsigned  BSIM4lcitGiven   :1;
2169     unsigned  BSIM4lnfactorGiven   :1;
2170     unsigned  BSIM4lxjGiven   :1;
2171     unsigned  BSIM4lvsatGiven   :1;
2172     unsigned  BSIM4latGiven   :1;
2173     unsigned  BSIM4la0Given   :1;
2174     unsigned  BSIM4lagsGiven   :1;
2175     unsigned  BSIM4la1Given   :1;
2176     unsigned  BSIM4la2Given   :1;
2177     unsigned  BSIM4lketaGiven   :1;
2178     unsigned  BSIM4lnsubGiven   :1;
2179     unsigned  BSIM4lndepGiven   :1;
2180     unsigned  BSIM4lnsdGiven    :1;
2181     unsigned  BSIM4lphinGiven   :1;
2182     unsigned  BSIM4lngateGiven   :1;
2183     unsigned  BSIM4lgamma1Given   :1;
2184     unsigned  BSIM4lgamma2Given   :1;
2185     unsigned  BSIM4lvbxGiven   :1;
2186     unsigned  BSIM4lvbmGiven   :1;
2187     unsigned  BSIM4lxtGiven   :1;
2188     unsigned  BSIM4lk1Given   :1;
2189     unsigned  BSIM4lkt1Given   :1;
2190     unsigned  BSIM4lkt1lGiven   :1;
2191     unsigned  BSIM4lkt2Given   :1;
2192     unsigned  BSIM4lk2Given   :1;
2193     unsigned  BSIM4lk3Given   :1;
2194     unsigned  BSIM4lk3bGiven   :1;
2195     unsigned  BSIM4lw0Given   :1;
2196     unsigned  BSIM4ldvtp0Given :1;
2197     unsigned  BSIM4ldvtp1Given :1;
2198     unsigned  BSIM4ldvtp2Given :1;        /* New DIBL/Rout */
2199     unsigned  BSIM4ldvtp3Given :1;
2200     unsigned  BSIM4ldvtp4Given :1;
2201     unsigned  BSIM4ldvtp5Given :1;
2202     unsigned  BSIM4llpe0Given   :1;
2203     unsigned  BSIM4llpebGiven   :1;
2204     unsigned  BSIM4ldvt0Given   :1;
2205     unsigned  BSIM4ldvt1Given   :1;
2206     unsigned  BSIM4ldvt2Given   :1;
2207     unsigned  BSIM4ldvt0wGiven   :1;
2208     unsigned  BSIM4ldvt1wGiven   :1;
2209     unsigned  BSIM4ldvt2wGiven   :1;
2210     unsigned  BSIM4ldroutGiven   :1;
2211     unsigned  BSIM4ldsubGiven   :1;
2212     unsigned  BSIM4lvth0Given   :1;
2213     unsigned  BSIM4luaGiven   :1;
2214     unsigned  BSIM4lua1Given   :1;
2215     unsigned  BSIM4lubGiven   :1;
2216     unsigned  BSIM4lub1Given   :1;
2217     unsigned  BSIM4lucGiven   :1;
2218     unsigned  BSIM4luc1Given   :1;
2219     unsigned  BSIM4ludGiven     :1;
2220     unsigned  BSIM4lud1Given     :1;
2221     unsigned  BSIM4lupGiven     :1;
2222     unsigned  BSIM4llpGiven     :1;
2223     unsigned  BSIM4lu0Given   :1;
2224     unsigned  BSIM4leuGiven   :1;
2225         unsigned  BSIM4lucsGiven   :1;
2226     unsigned  BSIM4luteGiven   :1;
2227         unsigned  BSIM4lucsteGiven  :1;
2228     unsigned  BSIM4lvoffGiven   :1;
2229     unsigned  BSIM4ltvoffGiven   :1;
2230     unsigned  BSIM4ltnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
2231     unsigned  BSIM4lteta0Given   :1;           /* v4.7 temp dep of leakage current */
2232     unsigned  BSIM4ltvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
2233     unsigned  BSIM4lminvGiven   :1;
2234     unsigned  BSIM4lminvcvGiven   :1;
2235     unsigned  BSIM4lrdswGiven   :1;
2236     unsigned  BSIM4lrswGiven   :1;
2237     unsigned  BSIM4lrdwGiven   :1;
2238     unsigned  BSIM4lprwgGiven   :1;
2239     unsigned  BSIM4lprwbGiven   :1;
2240     unsigned  BSIM4lprtGiven   :1;
2241     unsigned  BSIM4leta0Given   :1;
2242     unsigned  BSIM4letabGiven   :1;
2243     unsigned  BSIM4lpclmGiven   :1;
2244     unsigned  BSIM4lpdibl1Given   :1;
2245     unsigned  BSIM4lpdibl2Given   :1;
2246     unsigned  BSIM4lpdiblbGiven   :1;
2247     unsigned  BSIM4lfproutGiven   :1;
2248     unsigned  BSIM4lpditsGiven    :1;
2249     unsigned  BSIM4lpditsdGiven    :1;
2250     unsigned  BSIM4lpscbe1Given   :1;
2251     unsigned  BSIM4lpscbe2Given   :1;
2252     unsigned  BSIM4lpvagGiven   :1;
2253     unsigned  BSIM4ldeltaGiven  :1;
2254     unsigned  BSIM4lwrGiven   :1;
2255     unsigned  BSIM4ldwgGiven   :1;
2256     unsigned  BSIM4ldwbGiven   :1;
2257     unsigned  BSIM4lb0Given   :1;
2258     unsigned  BSIM4lb1Given   :1;
2259     unsigned  BSIM4lalpha0Given   :1;
2260     unsigned  BSIM4lalpha1Given   :1;
2261     unsigned  BSIM4lbeta0Given   :1;
2262     unsigned  BSIM4lvfbGiven   :1;
2263     unsigned  BSIM4lagidlGiven   :1;
2264     unsigned  BSIM4lbgidlGiven   :1;
2265     unsigned  BSIM4lcgidlGiven   :1;
2266     unsigned  BSIM4legidlGiven   :1;
2267     unsigned  BSIM4lfgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2268     unsigned  BSIM4lkgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2269     unsigned  BSIM4lrgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2270     unsigned  BSIM4lagislGiven   :1;
2271     unsigned  BSIM4lbgislGiven   :1;
2272     unsigned  BSIM4lcgislGiven   :1;
2273     unsigned  BSIM4legislGiven   :1;
2274     unsigned  BSIM4lfgislGiven   :1;    /* v4.7 New GIDL/GISL */
2275     unsigned  BSIM4lkgislGiven   :1;    /* v4.7 New GIDL/GISL */
2276     unsigned  BSIM4lrgislGiven   :1;    /* v4.7 New GIDL/GISL */
2277     unsigned  BSIM4laigcGiven   :1;
2278     unsigned  BSIM4lbigcGiven   :1;
2279     unsigned  BSIM4lcigcGiven   :1;
2280     unsigned  BSIM4laigsdGiven   :1;
2281     unsigned  BSIM4lbigsdGiven   :1;
2282     unsigned  BSIM4lcigsdGiven   :1;
2283     unsigned  BSIM4laigsGiven   :1;
2284     unsigned  BSIM4lbigsGiven   :1;
2285     unsigned  BSIM4lcigsGiven   :1;
2286     unsigned  BSIM4laigdGiven   :1;
2287     unsigned  BSIM4lbigdGiven   :1;
2288     unsigned  BSIM4lcigdGiven   :1;
2289     unsigned  BSIM4laigbaccGiven   :1;
2290     unsigned  BSIM4lbigbaccGiven   :1;
2291     unsigned  BSIM4lcigbaccGiven   :1;
2292     unsigned  BSIM4laigbinvGiven   :1;
2293     unsigned  BSIM4lbigbinvGiven   :1;
2294     unsigned  BSIM4lcigbinvGiven   :1;
2295     unsigned  BSIM4lnigcGiven   :1;
2296     unsigned  BSIM4lnigbinvGiven   :1;
2297     unsigned  BSIM4lnigbaccGiven   :1;
2298     unsigned  BSIM4lntoxGiven   :1;
2299     unsigned  BSIM4leigbinvGiven   :1;
2300     unsigned  BSIM4lpigcdGiven   :1;
2301     unsigned  BSIM4lpoxedgeGiven   :1;
2302     unsigned  BSIM4lxrcrg1Given   :1;
2303     unsigned  BSIM4lxrcrg2Given   :1;
2304     unsigned  BSIM4llambdaGiven    :1;
2305     unsigned  BSIM4lvtlGiven    :1;
2306     unsigned  BSIM4lxnGiven    :1;
2307     unsigned  BSIM4lvfbsdoffGiven    :1;
2308     unsigned  BSIM4ltvfbsdoffGiven    :1;
2309 
2310     /* CV model */
2311     unsigned  BSIM4lcgslGiven   :1;
2312     unsigned  BSIM4lcgdlGiven   :1;
2313     unsigned  BSIM4lckappasGiven   :1;
2314     unsigned  BSIM4lckappadGiven   :1;
2315     unsigned  BSIM4lcfGiven   :1;
2316     unsigned  BSIM4lclcGiven   :1;
2317     unsigned  BSIM4lcleGiven   :1;
2318     unsigned  BSIM4lvfbcvGiven   :1;
2319     unsigned  BSIM4lnoffGiven   :1;
2320     unsigned  BSIM4lvoffcvGiven :1;
2321     unsigned  BSIM4lacdeGiven   :1;
2322     unsigned  BSIM4lmoinGiven   :1;
2323 
2324     /* Width dependence */
2325     unsigned  BSIM4wcdscGiven   :1;
2326     unsigned  BSIM4wcdscbGiven   :1;
2327     unsigned  BSIM4wcdscdGiven   :1;
2328     unsigned  BSIM4wcitGiven   :1;
2329     unsigned  BSIM4wnfactorGiven   :1;
2330     unsigned  BSIM4wxjGiven   :1;
2331     unsigned  BSIM4wvsatGiven   :1;
2332     unsigned  BSIM4watGiven   :1;
2333     unsigned  BSIM4wa0Given   :1;
2334     unsigned  BSIM4wagsGiven   :1;
2335     unsigned  BSIM4wa1Given   :1;
2336     unsigned  BSIM4wa2Given   :1;
2337     unsigned  BSIM4wketaGiven   :1;
2338     unsigned  BSIM4wnsubGiven   :1;
2339     unsigned  BSIM4wndepGiven   :1;
2340     unsigned  BSIM4wnsdGiven    :1;
2341     unsigned  BSIM4wphinGiven   :1;
2342     unsigned  BSIM4wngateGiven   :1;
2343     unsigned  BSIM4wgamma1Given   :1;
2344     unsigned  BSIM4wgamma2Given   :1;
2345     unsigned  BSIM4wvbxGiven   :1;
2346     unsigned  BSIM4wvbmGiven   :1;
2347     unsigned  BSIM4wxtGiven   :1;
2348     unsigned  BSIM4wk1Given   :1;
2349     unsigned  BSIM4wkt1Given   :1;
2350     unsigned  BSIM4wkt1lGiven   :1;
2351     unsigned  BSIM4wkt2Given   :1;
2352     unsigned  BSIM4wk2Given   :1;
2353     unsigned  BSIM4wk3Given   :1;
2354     unsigned  BSIM4wk3bGiven   :1;
2355     unsigned  BSIM4ww0Given   :1;
2356     unsigned  BSIM4wdvtp0Given :1;
2357     unsigned  BSIM4wdvtp1Given :1;
2358     unsigned  BSIM4wdvtp2Given :1;        /* New DIBL/Rout */
2359     unsigned  BSIM4wdvtp3Given :1;
2360     unsigned  BSIM4wdvtp4Given :1;
2361     unsigned  BSIM4wdvtp5Given :1;
2362     unsigned  BSIM4wlpe0Given   :1;
2363     unsigned  BSIM4wlpebGiven   :1;
2364     unsigned  BSIM4wdvt0Given   :1;
2365     unsigned  BSIM4wdvt1Given   :1;
2366     unsigned  BSIM4wdvt2Given   :1;
2367     unsigned  BSIM4wdvt0wGiven   :1;
2368     unsigned  BSIM4wdvt1wGiven   :1;
2369     unsigned  BSIM4wdvt2wGiven   :1;
2370     unsigned  BSIM4wdroutGiven   :1;
2371     unsigned  BSIM4wdsubGiven   :1;
2372     unsigned  BSIM4wvth0Given   :1;
2373     unsigned  BSIM4wuaGiven   :1;
2374     unsigned  BSIM4wua1Given   :1;
2375     unsigned  BSIM4wubGiven   :1;
2376     unsigned  BSIM4wub1Given   :1;
2377     unsigned  BSIM4wucGiven   :1;
2378     unsigned  BSIM4wuc1Given   :1;
2379     unsigned  BSIM4wudGiven     :1;
2380     unsigned  BSIM4wud1Given     :1;
2381     unsigned  BSIM4wupGiven     :1;
2382     unsigned  BSIM4wlpGiven     :1;
2383     unsigned  BSIM4wu0Given   :1;
2384     unsigned  BSIM4weuGiven   :1;
2385         unsigned  BSIM4wucsGiven  :1;
2386     unsigned  BSIM4wuteGiven   :1;
2387         unsigned  BSIM4wucsteGiven  :1;
2388     unsigned  BSIM4wvoffGiven   :1;
2389     unsigned  BSIM4wtvoffGiven   :1;
2390     unsigned  BSIM4wtnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
2391     unsigned  BSIM4wteta0Given   :1;           /* v4.7 temp dep of leakage current */
2392     unsigned  BSIM4wtvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
2393     unsigned  BSIM4wminvGiven   :1;
2394     unsigned  BSIM4wminvcvGiven   :1;
2395     unsigned  BSIM4wrdswGiven   :1;
2396     unsigned  BSIM4wrswGiven   :1;
2397     unsigned  BSIM4wrdwGiven   :1;
2398     unsigned  BSIM4wprwgGiven   :1;
2399     unsigned  BSIM4wprwbGiven   :1;
2400     unsigned  BSIM4wprtGiven   :1;
2401     unsigned  BSIM4weta0Given   :1;
2402     unsigned  BSIM4wetabGiven   :1;
2403     unsigned  BSIM4wpclmGiven   :1;
2404     unsigned  BSIM4wpdibl1Given   :1;
2405     unsigned  BSIM4wpdibl2Given   :1;
2406     unsigned  BSIM4wpdiblbGiven   :1;
2407     unsigned  BSIM4wfproutGiven   :1;
2408     unsigned  BSIM4wpditsGiven    :1;
2409     unsigned  BSIM4wpditsdGiven    :1;
2410     unsigned  BSIM4wpscbe1Given   :1;
2411     unsigned  BSIM4wpscbe2Given   :1;
2412     unsigned  BSIM4wpvagGiven   :1;
2413     unsigned  BSIM4wdeltaGiven  :1;
2414     unsigned  BSIM4wwrGiven   :1;
2415     unsigned  BSIM4wdwgGiven   :1;
2416     unsigned  BSIM4wdwbGiven   :1;
2417     unsigned  BSIM4wb0Given   :1;
2418     unsigned  BSIM4wb1Given   :1;
2419     unsigned  BSIM4walpha0Given   :1;
2420     unsigned  BSIM4walpha1Given   :1;
2421     unsigned  BSIM4wbeta0Given   :1;
2422     unsigned  BSIM4wvfbGiven   :1;
2423     unsigned  BSIM4wagidlGiven   :1;
2424     unsigned  BSIM4wbgidlGiven   :1;
2425     unsigned  BSIM4wcgidlGiven   :1;
2426     unsigned  BSIM4wegidlGiven   :1;
2427     unsigned  BSIM4wfgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2428     unsigned  BSIM4wkgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2429     unsigned  BSIM4wrgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2430     unsigned  BSIM4wagislGiven   :1;
2431     unsigned  BSIM4wbgislGiven   :1;
2432     unsigned  BSIM4wcgislGiven   :1;
2433     unsigned  BSIM4wegislGiven   :1;
2434     unsigned  BSIM4wfgislGiven   :1;    /* v4.7 New GIDL/GISL */
2435     unsigned  BSIM4wkgislGiven   :1;    /* v4.7 New GIDL/GISL */
2436     unsigned  BSIM4wrgislGiven   :1;    /* v4.7 New GIDL/GISL */
2437     unsigned  BSIM4waigcGiven   :1;
2438     unsigned  BSIM4wbigcGiven   :1;
2439     unsigned  BSIM4wcigcGiven   :1;
2440     unsigned  BSIM4waigsdGiven   :1;
2441     unsigned  BSIM4wbigsdGiven   :1;
2442     unsigned  BSIM4wcigsdGiven   :1;
2443     unsigned  BSIM4waigsGiven   :1;
2444     unsigned  BSIM4wbigsGiven   :1;
2445     unsigned  BSIM4wcigsGiven   :1;
2446     unsigned  BSIM4waigdGiven   :1;
2447     unsigned  BSIM4wbigdGiven   :1;
2448     unsigned  BSIM4wcigdGiven   :1;
2449     unsigned  BSIM4waigbaccGiven   :1;
2450     unsigned  BSIM4wbigbaccGiven   :1;
2451     unsigned  BSIM4wcigbaccGiven   :1;
2452     unsigned  BSIM4waigbinvGiven   :1;
2453     unsigned  BSIM4wbigbinvGiven   :1;
2454     unsigned  BSIM4wcigbinvGiven   :1;
2455     unsigned  BSIM4wnigcGiven   :1;
2456     unsigned  BSIM4wnigbinvGiven   :1;
2457     unsigned  BSIM4wnigbaccGiven   :1;
2458     unsigned  BSIM4wntoxGiven   :1;
2459     unsigned  BSIM4weigbinvGiven   :1;
2460     unsigned  BSIM4wpigcdGiven   :1;
2461     unsigned  BSIM4wpoxedgeGiven   :1;
2462     unsigned  BSIM4wxrcrg1Given   :1;
2463     unsigned  BSIM4wxrcrg2Given   :1;
2464     unsigned  BSIM4wlambdaGiven    :1;
2465     unsigned  BSIM4wvtlGiven    :1;
2466     unsigned  BSIM4wxnGiven    :1;
2467     unsigned  BSIM4wvfbsdoffGiven    :1;
2468     unsigned  BSIM4wtvfbsdoffGiven    :1;
2469 
2470     /* CV model */
2471     unsigned  BSIM4wcgslGiven   :1;
2472     unsigned  BSIM4wcgdlGiven   :1;
2473     unsigned  BSIM4wckappasGiven   :1;
2474     unsigned  BSIM4wckappadGiven   :1;
2475     unsigned  BSIM4wcfGiven   :1;
2476     unsigned  BSIM4wclcGiven   :1;
2477     unsigned  BSIM4wcleGiven   :1;
2478     unsigned  BSIM4wvfbcvGiven   :1;
2479     unsigned  BSIM4wnoffGiven   :1;
2480     unsigned  BSIM4wvoffcvGiven :1;
2481     unsigned  BSIM4wacdeGiven   :1;
2482     unsigned  BSIM4wmoinGiven   :1;
2483 
2484     /* Cross-term dependence */
2485     unsigned  BSIM4pcdscGiven   :1;
2486     unsigned  BSIM4pcdscbGiven   :1;
2487     unsigned  BSIM4pcdscdGiven   :1;
2488     unsigned  BSIM4pcitGiven   :1;
2489     unsigned  BSIM4pnfactorGiven   :1;
2490     unsigned  BSIM4pxjGiven   :1;
2491     unsigned  BSIM4pvsatGiven   :1;
2492     unsigned  BSIM4patGiven   :1;
2493     unsigned  BSIM4pa0Given   :1;
2494     unsigned  BSIM4pagsGiven   :1;
2495     unsigned  BSIM4pa1Given   :1;
2496     unsigned  BSIM4pa2Given   :1;
2497     unsigned  BSIM4pketaGiven   :1;
2498     unsigned  BSIM4pnsubGiven   :1;
2499     unsigned  BSIM4pndepGiven   :1;
2500     unsigned  BSIM4pnsdGiven    :1;
2501     unsigned  BSIM4pphinGiven   :1;
2502     unsigned  BSIM4pngateGiven   :1;
2503     unsigned  BSIM4pgamma1Given   :1;
2504     unsigned  BSIM4pgamma2Given   :1;
2505     unsigned  BSIM4pvbxGiven   :1;
2506     unsigned  BSIM4pvbmGiven   :1;
2507     unsigned  BSIM4pxtGiven   :1;
2508     unsigned  BSIM4pk1Given   :1;
2509     unsigned  BSIM4pkt1Given   :1;
2510     unsigned  BSIM4pkt1lGiven   :1;
2511     unsigned  BSIM4pkt2Given   :1;
2512     unsigned  BSIM4pk2Given   :1;
2513     unsigned  BSIM4pk3Given   :1;
2514     unsigned  BSIM4pk3bGiven   :1;
2515     unsigned  BSIM4pw0Given   :1;
2516     unsigned  BSIM4pdvtp0Given :1;
2517     unsigned  BSIM4pdvtp1Given :1;
2518     unsigned  BSIM4pdvtp2Given :1;        /* New DIBL/Rout */
2519     unsigned  BSIM4pdvtp3Given :1;
2520     unsigned  BSIM4pdvtp4Given :1;
2521     unsigned  BSIM4pdvtp5Given :1;
2522     unsigned  BSIM4plpe0Given   :1;
2523     unsigned  BSIM4plpebGiven   :1;
2524     unsigned  BSIM4pdvt0Given   :1;
2525     unsigned  BSIM4pdvt1Given   :1;
2526     unsigned  BSIM4pdvt2Given   :1;
2527     unsigned  BSIM4pdvt0wGiven   :1;
2528     unsigned  BSIM4pdvt1wGiven   :1;
2529     unsigned  BSIM4pdvt2wGiven   :1;
2530     unsigned  BSIM4pdroutGiven   :1;
2531     unsigned  BSIM4pdsubGiven   :1;
2532     unsigned  BSIM4pvth0Given   :1;
2533     unsigned  BSIM4puaGiven   :1;
2534     unsigned  BSIM4pua1Given   :1;
2535     unsigned  BSIM4pubGiven   :1;
2536     unsigned  BSIM4pub1Given   :1;
2537     unsigned  BSIM4pucGiven   :1;
2538     unsigned  BSIM4puc1Given   :1;
2539     unsigned  BSIM4pudGiven     :1;
2540     unsigned  BSIM4pud1Given     :1;
2541     unsigned  BSIM4pupGiven     :1;
2542     unsigned  BSIM4plpGiven     :1;
2543     unsigned  BSIM4pu0Given   :1;
2544     unsigned  BSIM4peuGiven   :1;
2545         unsigned  BSIM4pucsGiven   :1;
2546     unsigned  BSIM4puteGiven   :1;
2547         unsigned  BSIM4pucsteGiven  :1;
2548     unsigned  BSIM4pvoffGiven   :1;
2549     unsigned  BSIM4ptvoffGiven   :1;
2550     unsigned  BSIM4ptnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
2551     unsigned  BSIM4pteta0Given   :1;           /* v4.7 temp dep of leakage current */
2552     unsigned  BSIM4ptvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
2553     unsigned  BSIM4pminvGiven   :1;
2554     unsigned  BSIM4pminvcvGiven   :1;
2555     unsigned  BSIM4prdswGiven   :1;
2556     unsigned  BSIM4prswGiven   :1;
2557     unsigned  BSIM4prdwGiven   :1;
2558     unsigned  BSIM4pprwgGiven   :1;
2559     unsigned  BSIM4pprwbGiven   :1;
2560     unsigned  BSIM4pprtGiven   :1;
2561     unsigned  BSIM4peta0Given   :1;
2562     unsigned  BSIM4petabGiven   :1;
2563     unsigned  BSIM4ppclmGiven   :1;
2564     unsigned  BSIM4ppdibl1Given   :1;
2565     unsigned  BSIM4ppdibl2Given   :1;
2566     unsigned  BSIM4ppdiblbGiven   :1;
2567     unsigned  BSIM4pfproutGiven   :1;
2568     unsigned  BSIM4ppditsGiven    :1;
2569     unsigned  BSIM4ppditsdGiven    :1;
2570     unsigned  BSIM4ppscbe1Given   :1;
2571     unsigned  BSIM4ppscbe2Given   :1;
2572     unsigned  BSIM4ppvagGiven   :1;
2573     unsigned  BSIM4pdeltaGiven  :1;
2574     unsigned  BSIM4pwrGiven   :1;
2575     unsigned  BSIM4pdwgGiven   :1;
2576     unsigned  BSIM4pdwbGiven   :1;
2577     unsigned  BSIM4pb0Given   :1;
2578     unsigned  BSIM4pb1Given   :1;
2579     unsigned  BSIM4palpha0Given   :1;
2580     unsigned  BSIM4palpha1Given   :1;
2581     unsigned  BSIM4pbeta0Given   :1;
2582     unsigned  BSIM4pvfbGiven   :1;
2583     unsigned  BSIM4pagidlGiven   :1;
2584     unsigned  BSIM4pbgidlGiven   :1;
2585     unsigned  BSIM4pcgidlGiven   :1;
2586     unsigned  BSIM4pegidlGiven   :1;
2587     unsigned  BSIM4pfgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2588     unsigned  BSIM4pkgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2589     unsigned  BSIM4prgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2590     unsigned  BSIM4pagislGiven   :1;
2591     unsigned  BSIM4pbgislGiven   :1;
2592     unsigned  BSIM4pcgislGiven   :1;
2593     unsigned  BSIM4pegislGiven   :1;
2594     unsigned  BSIM4pfgislGiven   :1;    /* v4.7 New GIDL/GISL */
2595     unsigned  BSIM4pkgislGiven   :1;    /* v4.7 New GIDL/GISL */
2596     unsigned  BSIM4prgislGiven   :1;    /* v4.7 New GIDL/GISL */
2597     unsigned  BSIM4paigcGiven   :1;
2598     unsigned  BSIM4pbigcGiven   :1;
2599     unsigned  BSIM4pcigcGiven   :1;
2600     unsigned  BSIM4paigsdGiven   :1;
2601     unsigned  BSIM4pbigsdGiven   :1;
2602     unsigned  BSIM4pcigsdGiven   :1;
2603     unsigned  BSIM4paigsGiven   :1;
2604     unsigned  BSIM4pbigsGiven   :1;
2605     unsigned  BSIM4pcigsGiven   :1;
2606     unsigned  BSIM4paigdGiven   :1;
2607     unsigned  BSIM4pbigdGiven   :1;
2608     unsigned  BSIM4pcigdGiven   :1;
2609     unsigned  BSIM4paigbaccGiven   :1;
2610     unsigned  BSIM4pbigbaccGiven   :1;
2611     unsigned  BSIM4pcigbaccGiven   :1;
2612     unsigned  BSIM4paigbinvGiven   :1;
2613     unsigned  BSIM4pbigbinvGiven   :1;
2614     unsigned  BSIM4pcigbinvGiven   :1;
2615     unsigned  BSIM4pnigcGiven   :1;
2616     unsigned  BSIM4pnigbinvGiven   :1;
2617     unsigned  BSIM4pnigbaccGiven   :1;
2618     unsigned  BSIM4pntoxGiven   :1;
2619     unsigned  BSIM4peigbinvGiven   :1;
2620     unsigned  BSIM4ppigcdGiven   :1;
2621     unsigned  BSIM4ppoxedgeGiven   :1;
2622     unsigned  BSIM4pxrcrg1Given   :1;
2623     unsigned  BSIM4pxrcrg2Given   :1;
2624     unsigned  BSIM4plambdaGiven    :1;
2625     unsigned  BSIM4pvtlGiven    :1;
2626     unsigned  BSIM4pxnGiven    :1;
2627     unsigned  BSIM4pvfbsdoffGiven    :1;
2628     unsigned  BSIM4ptvfbsdoffGiven    :1;
2629 
2630     /* CV model */
2631     unsigned  BSIM4pcgslGiven   :1;
2632     unsigned  BSIM4pcgdlGiven   :1;
2633     unsigned  BSIM4pckappasGiven   :1;
2634     unsigned  BSIM4pckappadGiven   :1;
2635     unsigned  BSIM4pcfGiven   :1;
2636     unsigned  BSIM4pclcGiven   :1;
2637     unsigned  BSIM4pcleGiven   :1;
2638     unsigned  BSIM4pvfbcvGiven   :1;
2639     unsigned  BSIM4pnoffGiven   :1;
2640     unsigned  BSIM4pvoffcvGiven :1;
2641     unsigned  BSIM4pacdeGiven   :1;
2642     unsigned  BSIM4pmoinGiven   :1;
2643 
2644     unsigned  BSIM4useFringeGiven   :1;
2645 
2646     unsigned  BSIM4tnomGiven   :1;
2647     unsigned  BSIM4cgsoGiven   :1;
2648     unsigned  BSIM4cgdoGiven   :1;
2649     unsigned  BSIM4cgboGiven   :1;
2650     unsigned  BSIM4xpartGiven   :1;
2651     unsigned  BSIM4sheetResistanceGiven   :1;
2652 
2653     unsigned  BSIM4SjctSatCurDensityGiven   :1;
2654     unsigned  BSIM4SjctSidewallSatCurDensityGiven   :1;
2655     unsigned  BSIM4SjctGateSidewallSatCurDensityGiven   :1;
2656     unsigned  BSIM4SbulkJctPotentialGiven   :1;
2657     unsigned  BSIM4SbulkJctBotGradingCoeffGiven   :1;
2658     unsigned  BSIM4SsidewallJctPotentialGiven   :1;
2659     unsigned  BSIM4SGatesidewallJctPotentialGiven   :1;
2660     unsigned  BSIM4SbulkJctSideGradingCoeffGiven   :1;
2661     unsigned  BSIM4SunitAreaJctCapGiven   :1;
2662     unsigned  BSIM4SunitLengthSidewallJctCapGiven   :1;
2663     unsigned  BSIM4SbulkJctGateSideGradingCoeffGiven   :1;
2664     unsigned  BSIM4SunitLengthGateSidewallJctCapGiven   :1;
2665     unsigned  BSIM4SjctEmissionCoeffGiven :1;
2666     unsigned  BSIM4SjctTempExponentGiven        :1;
2667 
2668     unsigned  BSIM4DjctSatCurDensityGiven   :1;
2669     unsigned  BSIM4DjctSidewallSatCurDensityGiven   :1;
2670     unsigned  BSIM4DjctGateSidewallSatCurDensityGiven   :1;
2671     unsigned  BSIM4DbulkJctPotentialGiven   :1;
2672     unsigned  BSIM4DbulkJctBotGradingCoeffGiven   :1;
2673     unsigned  BSIM4DsidewallJctPotentialGiven   :1;
2674     unsigned  BSIM4DGatesidewallJctPotentialGiven   :1;
2675     unsigned  BSIM4DbulkJctSideGradingCoeffGiven   :1;
2676     unsigned  BSIM4DunitAreaJctCapGiven   :1;
2677     unsigned  BSIM4DunitLengthSidewallJctCapGiven   :1;
2678     unsigned  BSIM4DbulkJctGateSideGradingCoeffGiven   :1;
2679     unsigned  BSIM4DunitLengthGateSidewallJctCapGiven   :1;
2680     unsigned  BSIM4DjctEmissionCoeffGiven :1;
2681     unsigned  BSIM4DjctTempExponentGiven :1;
2682 
2683     unsigned  BSIM4oxideTrapDensityAGiven  :1;
2684     unsigned  BSIM4oxideTrapDensityBGiven  :1;
2685     unsigned  BSIM4oxideTrapDensityCGiven  :1;
2686     unsigned  BSIM4emGiven  :1;
2687     unsigned  BSIM4efGiven  :1;
2688     unsigned  BSIM4afGiven  :1;
2689     unsigned  BSIM4kfGiven  :1;
2690 
2691     unsigned  BSIM4vgsMaxGiven  :1;
2692     unsigned  BSIM4vgdMaxGiven  :1;
2693     unsigned  BSIM4vgbMaxGiven  :1;
2694     unsigned  BSIM4vdsMaxGiven  :1;
2695     unsigned  BSIM4vbsMaxGiven  :1;
2696     unsigned  BSIM4vbdMaxGiven  :1;
2697     unsigned  BSIM4vgsrMaxGiven  :1;
2698     unsigned  BSIM4vgdrMaxGiven  :1;
2699     unsigned  BSIM4vgbrMaxGiven  :1;
2700     unsigned  BSIM4vbsrMaxGiven  :1;
2701     unsigned  BSIM4vbdrMaxGiven  :1;
2702 
2703     unsigned  BSIM4LintGiven   :1;
2704     unsigned  BSIM4LlGiven   :1;
2705     unsigned  BSIM4LlcGiven   :1;
2706     unsigned  BSIM4LlnGiven   :1;
2707     unsigned  BSIM4LwGiven   :1;
2708     unsigned  BSIM4LwcGiven   :1;
2709     unsigned  BSIM4LwnGiven   :1;
2710     unsigned  BSIM4LwlGiven   :1;
2711     unsigned  BSIM4LwlcGiven   :1;
2712     unsigned  BSIM4LminGiven   :1;
2713     unsigned  BSIM4LmaxGiven   :1;
2714 
2715     unsigned  BSIM4WintGiven   :1;
2716     unsigned  BSIM4WlGiven   :1;
2717     unsigned  BSIM4WlcGiven   :1;
2718     unsigned  BSIM4WlnGiven   :1;
2719     unsigned  BSIM4WwGiven   :1;
2720     unsigned  BSIM4WwcGiven   :1;
2721     unsigned  BSIM4WwnGiven   :1;
2722     unsigned  BSIM4WwlGiven   :1;
2723     unsigned  BSIM4WwlcGiven   :1;
2724     unsigned  BSIM4WminGiven   :1;
2725     unsigned  BSIM4WmaxGiven   :1;
2726 
2727     /* added for stress effect */
2728     unsigned  BSIM4sarefGiven   :1;
2729     unsigned  BSIM4sbrefGiven   :1;
2730     unsigned  BSIM4wlodGiven  :1;
2731     unsigned  BSIM4ku0Given   :1;
2732     unsigned  BSIM4kvsatGiven  :1;
2733     unsigned  BSIM4kvth0Given  :1;
2734     unsigned  BSIM4tku0Given   :1;
2735     unsigned  BSIM4llodku0Given   :1;
2736     unsigned  BSIM4wlodku0Given   :1;
2737     unsigned  BSIM4llodvthGiven   :1;
2738     unsigned  BSIM4wlodvthGiven   :1;
2739     unsigned  BSIM4lku0Given   :1;
2740     unsigned  BSIM4wku0Given   :1;
2741     unsigned  BSIM4pku0Given   :1;
2742     unsigned  BSIM4lkvth0Given   :1;
2743     unsigned  BSIM4wkvth0Given   :1;
2744     unsigned  BSIM4pkvth0Given   :1;
2745     unsigned  BSIM4stk2Given   :1;
2746     unsigned  BSIM4lodk2Given  :1;
2747     unsigned  BSIM4steta0Given :1;
2748     unsigned  BSIM4lodeta0Given :1;
2749 
2750     unsigned  BSIM4webGiven   :1;
2751     unsigned  BSIM4wecGiven   :1;
2752     unsigned  BSIM4kvth0weGiven   :1;
2753     unsigned  BSIM4k2weGiven   :1;
2754     unsigned  BSIM4ku0weGiven   :1;
2755     unsigned  BSIM4screfGiven   :1;
2756     unsigned  BSIM4wpemodGiven   :1;
2757     unsigned  BSIM4lkvth0weGiven   :1;
2758     unsigned  BSIM4lk2weGiven   :1;
2759     unsigned  BSIM4lku0weGiven   :1;
2760     unsigned  BSIM4wkvth0weGiven   :1;
2761     unsigned  BSIM4wk2weGiven   :1;
2762     unsigned  BSIM4wku0weGiven   :1;
2763     unsigned  BSIM4pkvth0weGiven   :1;
2764     unsigned  BSIM4pk2weGiven   :1;
2765     unsigned  BSIM4pku0weGiven   :1;
2766 
2767 
2768 } BSIM4model;
2769 
2770 
2771 #ifndef NMOS
2772 #define NMOS 1
2773 #define PMOS -1
2774 #endif /*NMOS*/
2775 
2776 
2777 /* Instance parameters */
2778 #define BSIM4_W                   1
2779 #define BSIM4_L                   2
2780 #define BSIM4_AS                  3
2781 #define BSIM4_AD                  4
2782 #define BSIM4_PS                  5
2783 #define BSIM4_PD                  6
2784 #define BSIM4_NRS                 7
2785 #define BSIM4_NRD                 8
2786 #define BSIM4_OFF                 9
2787 #define BSIM4_IC                  10
2788 #define BSIM4_IC_VDS              11
2789 #define BSIM4_IC_VGS              12
2790 #define BSIM4_IC_VBS              13
2791 #define BSIM4_TRNQSMOD            14
2792 #define BSIM4_RBODYMOD            15
2793 #define BSIM4_RGATEMOD            16
2794 #define BSIM4_GEOMOD              17
2795 #define BSIM4_RGEOMOD             18
2796 #define BSIM4_NF                  19
2797 #define BSIM4_MIN                 20
2798 #define BSIM4_ACNQSMOD            22
2799 #define BSIM4_RBDB                23
2800 #define BSIM4_RBSB                24
2801 #define BSIM4_RBPB                25
2802 #define BSIM4_RBPS                26
2803 #define BSIM4_RBPD                27
2804 #define BSIM4_SA                  28
2805 #define BSIM4_SB                  29
2806 #define BSIM4_SD                  30
2807 #define BSIM4_DELVTO              31
2808 #define BSIM4_XGW                 32
2809 #define BSIM4_NGCON               33
2810 #define BSIM4_SCA                 34
2811 #define BSIM4_SCB                 35
2812 #define BSIM4_SCC                 36
2813 #define BSIM4_SC                  37
2814 #define BSIM4_M                   38
2815 #define BSIM4_MULU0               39
2816 #define BSIM4_WNFLAG              40
2817 
2818 /* Global parameters */
2819 #define BSIM4_MOD_TEMPEOT         65
2820 #define BSIM4_MOD_LEFFEOT         66
2821 #define BSIM4_MOD_WEFFEOT         67
2822 #define BSIM4_MOD_UCSTE           68
2823 #define BSIM4_MOD_LUCSTE          69
2824 #define BSIM4_MOD_WUCSTE          70
2825 #define BSIM4_MOD_PUCSTE          71
2826 #define BSIM4_MOD_UCS             72
2827 #define BSIM4_MOD_LUCS            73
2828 #define BSIM4_MOD_WUCS            74
2829 #define BSIM4_MOD_PUCS            75
2830 #define BSIM4_MOD_CVCHARGEMOD     76
2831 #define BSIM4_MOD_ADOS            77
2832 #define BSIM4_MOD_BDOS            78
2833 #define BSIM4_MOD_TEMPMOD         79
2834 #define BSIM4_MOD_MTRLMOD         80
2835 #define BSIM4_MOD_IGCMOD          81
2836 #define BSIM4_MOD_IGBMOD          82
2837 #define BSIM4_MOD_ACNQSMOD        83
2838 #define BSIM4_MOD_FNOIMOD         84
2839 #define BSIM4_MOD_RDSMOD          85
2840 #define BSIM4_MOD_DIOMOD          86
2841 #define BSIM4_MOD_PERMOD          87
2842 #define BSIM4_MOD_GEOMOD          88
2843 #define BSIM4_MOD_RGEOMOD         89
2844 #define BSIM4_MOD_RGATEMOD        90
2845 #define BSIM4_MOD_RBODYMOD        91
2846 #define BSIM4_MOD_CAPMOD          92
2847 #define BSIM4_MOD_TRNQSMOD        93
2848 #define BSIM4_MOD_MOBMOD          94
2849 #define BSIM4_MOD_TNOIMOD         95
2850 #define BSIM4_MOD_EOT             96
2851 #define BSIM4_MOD_VDDEOT          97
2852 #define BSIM4_MOD_TOXE            98
2853 #define BSIM4_MOD_CDSC            99
2854 #define BSIM4_MOD_CDSCB           100
2855 #define BSIM4_MOD_CIT             101
2856 #define BSIM4_MOD_NFACTOR         102
2857 #define BSIM4_MOD_XJ              103
2858 #define BSIM4_MOD_VSAT            104
2859 #define BSIM4_MOD_AT              105
2860 #define BSIM4_MOD_A0              106
2861 #define BSIM4_MOD_A1              107
2862 #define BSIM4_MOD_A2              108
2863 #define BSIM4_MOD_KETA            109
2864 #define BSIM4_MOD_NSUB            110
2865 #define BSIM4_MOD_PHIG            111
2866 #define BSIM4_MOD_EPSRGATE        112
2867 #define BSIM4_MOD_EASUB           113
2868 #define BSIM4_MOD_EPSRSUB         114
2869 #define BSIM4_MOD_NI0SUB          115
2870 #define BSIM4_MOD_BG0SUB          116
2871 #define BSIM4_MOD_TBGASUB         117
2872 #define BSIM4_MOD_TBGBSUB         118
2873 #define BSIM4_MOD_NDEP            119
2874 #define BSIM4_MOD_NGATE           120
2875 #define BSIM4_MOD_GAMMA1          121
2876 #define BSIM4_MOD_GAMMA2          122
2877 #define BSIM4_MOD_VBX             123
2878 #define BSIM4_MOD_BINUNIT         124
2879 #define BSIM4_MOD_VBM             125
2880 #define BSIM4_MOD_XT              126
2881 #define BSIM4_MOD_K1              129
2882 #define BSIM4_MOD_KT1             130
2883 #define BSIM4_MOD_KT1L            131
2884 #define BSIM4_MOD_K2              132
2885 #define BSIM4_MOD_KT2             133
2886 #define BSIM4_MOD_K3              134
2887 #define BSIM4_MOD_K3B             135
2888 #define BSIM4_MOD_W0              136
2889 #define BSIM4_MOD_LPE0            137
2890 #define BSIM4_MOD_DVT0            138
2891 #define BSIM4_MOD_DVT1            139
2892 #define BSIM4_MOD_DVT2            140
2893 #define BSIM4_MOD_DVT0W           141
2894 #define BSIM4_MOD_DVT1W           142
2895 #define BSIM4_MOD_DVT2W           143
2896 #define BSIM4_MOD_DROUT           144
2897 #define BSIM4_MOD_DSUB            145
2898 #define BSIM4_MOD_VTH0            146
2899 #define BSIM4_MOD_UA              147
2900 #define BSIM4_MOD_UA1             148
2901 #define BSIM4_MOD_UB              149
2902 #define BSIM4_MOD_UB1             150
2903 #define BSIM4_MOD_UC              151
2904 #define BSIM4_MOD_UC1             152
2905 #define BSIM4_MOD_U0              153
2906 #define BSIM4_MOD_UTE             154
2907 #define BSIM4_MOD_VOFF            155
2908 #define BSIM4_MOD_DELTA           156
2909 #define BSIM4_MOD_RDSW            157
2910 #define BSIM4_MOD_PRT             158
2911 #define BSIM4_MOD_LDD             159
2912 #define BSIM4_MOD_ETA             160
2913 #define BSIM4_MOD_ETA0            161
2914 #define BSIM4_MOD_ETAB            162
2915 #define BSIM4_MOD_PCLM            163
2916 #define BSIM4_MOD_PDIBL1          164
2917 #define BSIM4_MOD_PDIBL2          165
2918 #define BSIM4_MOD_PSCBE1          166
2919 #define BSIM4_MOD_PSCBE2          167
2920 #define BSIM4_MOD_PVAG            168
2921 #define BSIM4_MOD_WR              169
2922 #define BSIM4_MOD_DWG             170
2923 #define BSIM4_MOD_DWB             171
2924 #define BSIM4_MOD_B0              172
2925 #define BSIM4_MOD_B1              173
2926 #define BSIM4_MOD_ALPHA0          174
2927 #define BSIM4_MOD_BETA0           175
2928 #define BSIM4_MOD_PDIBLB          178
2929 #define BSIM4_MOD_PRWG            179
2930 #define BSIM4_MOD_PRWB            180
2931 #define BSIM4_MOD_CDSCD           181
2932 #define BSIM4_MOD_AGS             182
2933 #define BSIM4_MOD_FRINGE          184
2934 #define BSIM4_MOD_CGSL            186
2935 #define BSIM4_MOD_CGDL            187
2936 #define BSIM4_MOD_CKAPPAS         188
2937 #define BSIM4_MOD_CF              189
2938 #define BSIM4_MOD_CLC             190
2939 #define BSIM4_MOD_CLE             191
2940 #define BSIM4_MOD_PARAMCHK        192
2941 #define BSIM4_MOD_VERSION         193
2942 #define BSIM4_MOD_VFBCV           194
2943 #define BSIM4_MOD_ACDE            195
2944 #define BSIM4_MOD_MOIN            196
2945 #define BSIM4_MOD_NOFF            197
2946 #define BSIM4_MOD_IJTHDFWD        198
2947 #define BSIM4_MOD_ALPHA1          199
2948 #define BSIM4_MOD_VFB             200
2949 #define BSIM4_MOD_TOXM            201
2950 #define BSIM4_MOD_TCJ             202
2951 #define BSIM4_MOD_TCJSW           203
2952 #define BSIM4_MOD_TCJSWG          204
2953 #define BSIM4_MOD_TPB             205
2954 #define BSIM4_MOD_TPBSW           206
2955 #define BSIM4_MOD_TPBSWG          207
2956 #define BSIM4_MOD_VOFFCV          208
2957 #define BSIM4_MOD_GBMIN           209
2958 #define BSIM4_MOD_RBDB            210
2959 #define BSIM4_MOD_RBSB            211
2960 #define BSIM4_MOD_RBPB            212
2961 #define BSIM4_MOD_RBPS            213
2962 #define BSIM4_MOD_RBPD            214
2963 #define BSIM4_MOD_DMCG            215
2964 #define BSIM4_MOD_DMCI            216
2965 #define BSIM4_MOD_DMDG            217
2966 #define BSIM4_MOD_XGW             218
2967 #define BSIM4_MOD_XGL             219
2968 #define BSIM4_MOD_RSHG            220
2969 #define BSIM4_MOD_NGCON           221
2970 #define BSIM4_MOD_AGIDL           222
2971 #define BSIM4_MOD_BGIDL           223
2972 #define BSIM4_MOD_EGIDL           224
2973 #define BSIM4_MOD_IJTHSFWD        225
2974 #define BSIM4_MOD_XJBVD           226
2975 #define BSIM4_MOD_XJBVS           227
2976 #define BSIM4_MOD_BVD             228
2977 #define BSIM4_MOD_BVS             229
2978 #define BSIM4_MOD_TOXP            230
2979 #define BSIM4_MOD_DTOX            231
2980 #define BSIM4_MOD_XRCRG1          232
2981 #define BSIM4_MOD_XRCRG2          233
2982 #define BSIM4_MOD_EU              234
2983 #define BSIM4_MOD_IJTHSREV        235
2984 #define BSIM4_MOD_IJTHDREV        236
2985 #define BSIM4_MOD_MINV            237
2986 #define BSIM4_MOD_VOFFL           238
2987 #define BSIM4_MOD_PDITS           239
2988 #define BSIM4_MOD_PDITSD          240
2989 #define BSIM4_MOD_PDITSL          241
2990 #define BSIM4_MOD_TNOIA           242
2991 #define BSIM4_MOD_TNOIB           243
2992 #define BSIM4_MOD_NTNOI           244
2993 #define BSIM4_MOD_FPROUT          245
2994 #define BSIM4_MOD_LPEB            246
2995 #define BSIM4_MOD_DVTP0           247
2996 #define BSIM4_MOD_DVTP1           248
2997 #define BSIM4_MOD_CGIDL           249
2998 #define BSIM4_MOD_PHIN            250
2999 #define BSIM4_MOD_RDSWMIN         251
3000 #define BSIM4_MOD_RSW             252
3001 #define BSIM4_MOD_RDW             253
3002 #define BSIM4_MOD_RDWMIN          254
3003 #define BSIM4_MOD_RSWMIN          255
3004 #define BSIM4_MOD_NSD             256
3005 #define BSIM4_MOD_CKAPPAD         257
3006 #define BSIM4_MOD_DMCGT           258
3007 #define BSIM4_MOD_AIGC            259
3008 #define BSIM4_MOD_BIGC            260
3009 #define BSIM4_MOD_CIGC            261
3010 #define BSIM4_MOD_AIGBACC         262
3011 #define BSIM4_MOD_BIGBACC         263
3012 #define BSIM4_MOD_CIGBACC         264
3013 #define BSIM4_MOD_AIGBINV         265
3014 #define BSIM4_MOD_BIGBINV         266
3015 #define BSIM4_MOD_CIGBINV         267
3016 #define BSIM4_MOD_NIGC            268
3017 #define BSIM4_MOD_NIGBACC         269
3018 #define BSIM4_MOD_NIGBINV         270
3019 #define BSIM4_MOD_NTOX            271
3020 #define BSIM4_MOD_TOXREF          272
3021 #define BSIM4_MOD_EIGBINV         273
3022 #define BSIM4_MOD_PIGCD           274
3023 #define BSIM4_MOD_POXEDGE         275
3024 #define BSIM4_MOD_EPSROX          276
3025 #define BSIM4_MOD_AIGSD           277
3026 #define BSIM4_MOD_BIGSD           278
3027 #define BSIM4_MOD_CIGSD           279
3028 #define BSIM4_MOD_JSWGS           280
3029 #define BSIM4_MOD_JSWGD           281
3030 #define BSIM4_MOD_LAMBDA          282
3031 #define BSIM4_MOD_VTL             283
3032 #define BSIM4_MOD_LC              284
3033 #define BSIM4_MOD_XN              285
3034 #define BSIM4_MOD_RNOIA           286
3035 #define BSIM4_MOD_RNOIB           287
3036 #define BSIM4_MOD_VFBSDOFF        288
3037 #define BSIM4_MOD_LINTNOI         289
3038 #define BSIM4_MOD_UD              290
3039 #define BSIM4_MOD_UD1             291
3040 #define BSIM4_MOD_UP              292
3041 #define BSIM4_MOD_LP              293
3042 #define BSIM4_MOD_TVOFF           294
3043 #define BSIM4_MOD_TVFBSDOFF       295
3044 #define BSIM4_MOD_MINVCV          296
3045 #define BSIM4_MOD_VOFFCVL         297
3046 #define BSIM4_MOD_MTRLCOMPATMOD   380
3047 
3048 /* Length dependence */
3049 #define BSIM4_MOD_LCDSC            301
3050 #define BSIM4_MOD_LCDSCB           302
3051 #define BSIM4_MOD_LCIT             303
3052 #define BSIM4_MOD_LNFACTOR         304
3053 #define BSIM4_MOD_LXJ              305
3054 #define BSIM4_MOD_LVSAT            306
3055 #define BSIM4_MOD_LAT              307
3056 #define BSIM4_MOD_LA0              308
3057 #define BSIM4_MOD_LA1              309
3058 #define BSIM4_MOD_LA2              310
3059 #define BSIM4_MOD_LKETA            311
3060 #define BSIM4_MOD_LNSUB            312
3061 #define BSIM4_MOD_LNDEP            313
3062 #define BSIM4_MOD_LNGATE           315
3063 #define BSIM4_MOD_LGAMMA1          316
3064 #define BSIM4_MOD_LGAMMA2          317
3065 #define BSIM4_MOD_LVBX             318
3066 #define BSIM4_MOD_LVBM             320
3067 #define BSIM4_MOD_LXT              322
3068 #define BSIM4_MOD_LK1              325
3069 #define BSIM4_MOD_LKT1             326
3070 #define BSIM4_MOD_LKT1L            327
3071 #define BSIM4_MOD_LK2              328
3072 #define BSIM4_MOD_LKT2             329
3073 #define BSIM4_MOD_LK3              330
3074 #define BSIM4_MOD_LK3B             331
3075 #define BSIM4_MOD_LW0              332
3076 #define BSIM4_MOD_LLPE0            333
3077 #define BSIM4_MOD_LDVT0            334
3078 #define BSIM4_MOD_LDVT1            335
3079 #define BSIM4_MOD_LDVT2            336
3080 #define BSIM4_MOD_LDVT0W           337
3081 #define BSIM4_MOD_LDVT1W           338
3082 #define BSIM4_MOD_LDVT2W           339
3083 #define BSIM4_MOD_LDROUT           340
3084 #define BSIM4_MOD_LDSUB            341
3085 #define BSIM4_MOD_LVTH0            342
3086 #define BSIM4_MOD_LUA              343
3087 #define BSIM4_MOD_LUA1             344
3088 #define BSIM4_MOD_LUB              345
3089 #define BSIM4_MOD_LUB1             346
3090 #define BSIM4_MOD_LUC              347
3091 #define BSIM4_MOD_LUC1             348
3092 #define BSIM4_MOD_LU0              349
3093 #define BSIM4_MOD_LUTE             350
3094 #define BSIM4_MOD_LVOFF            351
3095 #define BSIM4_MOD_LDELTA           352
3096 #define BSIM4_MOD_LRDSW            353
3097 #define BSIM4_MOD_LPRT             354
3098 #define BSIM4_MOD_LLDD             355
3099 #define BSIM4_MOD_LETA             356
3100 #define BSIM4_MOD_LETA0            357
3101 #define BSIM4_MOD_LETAB            358
3102 #define BSIM4_MOD_LPCLM            359
3103 #define BSIM4_MOD_LPDIBL1          360
3104 #define BSIM4_MOD_LPDIBL2          361
3105 #define BSIM4_MOD_LPSCBE1          362
3106 #define BSIM4_MOD_LPSCBE2          363
3107 #define BSIM4_MOD_LPVAG            364
3108 #define BSIM4_MOD_LWR              365
3109 #define BSIM4_MOD_LDWG             366
3110 #define BSIM4_MOD_LDWB             367
3111 #define BSIM4_MOD_LB0              368
3112 #define BSIM4_MOD_LB1              369
3113 #define BSIM4_MOD_LALPHA0          370
3114 #define BSIM4_MOD_LBETA0           371
3115 #define BSIM4_MOD_LPDIBLB          374
3116 #define BSIM4_MOD_LPRWG            375
3117 #define BSIM4_MOD_LPRWB            376
3118 #define BSIM4_MOD_LCDSCD           377
3119 #define BSIM4_MOD_LAGS             378
3120 
3121 #define BSIM4_MOD_LFRINGE          381
3122 #define BSIM4_MOD_LCGSL            383
3123 #define BSIM4_MOD_LCGDL            384
3124 #define BSIM4_MOD_LCKAPPAS         385
3125 #define BSIM4_MOD_LCF              386
3126 #define BSIM4_MOD_LCLC             387
3127 #define BSIM4_MOD_LCLE             388
3128 #define BSIM4_MOD_LVFBCV           389
3129 #define BSIM4_MOD_LACDE            390
3130 #define BSIM4_MOD_LMOIN            391
3131 #define BSIM4_MOD_LNOFF            392
3132 #define BSIM4_MOD_LALPHA1          394
3133 #define BSIM4_MOD_LVFB             395
3134 #define BSIM4_MOD_LVOFFCV          396
3135 #define BSIM4_MOD_LAGIDL           397
3136 #define BSIM4_MOD_LBGIDL           398
3137 #define BSIM4_MOD_LEGIDL           399
3138 #define BSIM4_MOD_LXRCRG1          400
3139 #define BSIM4_MOD_LXRCRG2          401
3140 #define BSIM4_MOD_LEU              402
3141 #define BSIM4_MOD_LMINV            403
3142 #define BSIM4_MOD_LPDITS           404
3143 #define BSIM4_MOD_LPDITSD          405
3144 #define BSIM4_MOD_LFPROUT          406
3145 #define BSIM4_MOD_LLPEB            407
3146 #define BSIM4_MOD_LDVTP0           408
3147 #define BSIM4_MOD_LDVTP1           409
3148 #define BSIM4_MOD_LCGIDL           410
3149 #define BSIM4_MOD_LPHIN            411
3150 #define BSIM4_MOD_LRSW             412
3151 #define BSIM4_MOD_LRDW             413
3152 #define BSIM4_MOD_LNSD             414
3153 #define BSIM4_MOD_LCKAPPAD         415
3154 #define BSIM4_MOD_LAIGC            416
3155 #define BSIM4_MOD_LBIGC            417
3156 #define BSIM4_MOD_LCIGC            418
3157 #define BSIM4_MOD_LAIGBACC         419
3158 #define BSIM4_MOD_LBIGBACC         420
3159 #define BSIM4_MOD_LCIGBACC         421
3160 #define BSIM4_MOD_LAIGBINV         422
3161 #define BSIM4_MOD_LBIGBINV         423
3162 #define BSIM4_MOD_LCIGBINV         424
3163 #define BSIM4_MOD_LNIGC            425
3164 #define BSIM4_MOD_LNIGBACC         426
3165 #define BSIM4_MOD_LNIGBINV         427
3166 #define BSIM4_MOD_LNTOX            428
3167 #define BSIM4_MOD_LEIGBINV         429
3168 #define BSIM4_MOD_LPIGCD           430
3169 #define BSIM4_MOD_LPOXEDGE         431
3170 #define BSIM4_MOD_LAIGSD           432
3171 #define BSIM4_MOD_LBIGSD           433
3172 #define BSIM4_MOD_LCIGSD           434
3173 
3174 #define BSIM4_MOD_LLAMBDA          435
3175 #define BSIM4_MOD_LVTL             436
3176 #define BSIM4_MOD_LXN              437
3177 #define BSIM4_MOD_LVFBSDOFF        438
3178 #define BSIM4_MOD_LUD              439
3179 #define BSIM4_MOD_LUD1             440
3180 #define BSIM4_MOD_LUP              441
3181 #define BSIM4_MOD_LLP              442
3182 #define BSIM4_MOD_LMINVCV          443
3183 
3184 #define BSIM4_MOD_FGIDL            444                  /* v4.7 New GIDL/GISL*/
3185 #define BSIM4_MOD_KGIDL            445                  /* v4.7 New GIDL/GISL*/
3186 #define BSIM4_MOD_RGIDL            446                  /* v4.7 New GIDL/GISL*/
3187 #define BSIM4_MOD_FGISL            447                  /* v4.7 New GIDL/GISL*/
3188 #define BSIM4_MOD_KGISL            448                  /* v4.7 New GIDL/GISL*/
3189 #define BSIM4_MOD_RGISL            449                  /* v4.7 New GIDL/GISL*/
3190 #define BSIM4_MOD_LFGIDL           450                  /* v4.7 New GIDL/GISL*/
3191 #define BSIM4_MOD_LKGIDL           451                  /* v4.7 New GIDL/GISL*/
3192 #define BSIM4_MOD_LRGIDL           452                  /* v4.7 New GIDL/GISL*/
3193 #define BSIM4_MOD_LFGISL           453                  /* v4.7 New GIDL/GISL*/
3194 #define BSIM4_MOD_LKGISL           454                  /* v4.7 New GIDL/GISL*/
3195 #define BSIM4_MOD_LRGISL           455                  /* v4.7 New GIDL/GISL*/
3196 #define BSIM4_MOD_WFGIDL           456                  /* v4.7 New GIDL/GISL*/
3197 #define BSIM4_MOD_WKGIDL           457                  /* v4.7 New GIDL/GISL*/
3198 #define BSIM4_MOD_WRGIDL           458                  /* v4.7 New GIDL/GISL*/
3199 #define BSIM4_MOD_WFGISL           459                  /* v4.7 New GIDL/GISL*/
3200 #define BSIM4_MOD_WKGISL           460                  /* v4.7 New GIDL/GISL*/
3201 #define BSIM4_MOD_WRGISL           461                  /* v4.7 New GIDL/GISL*/
3202 #define BSIM4_MOD_PFGIDL           462                  /* v4.7 New GIDL/GISL*/
3203 #define BSIM4_MOD_PKGIDL           463                  /* v4.7 New GIDL/GISL*/
3204 #define BSIM4_MOD_PRGIDL           464                  /* v4.7 New GIDL/GISL*/
3205 #define BSIM4_MOD_PFGISL           465                  /* v4.7 New GIDL/GISL*/
3206 #define BSIM4_MOD_PKGISL           466                  /* v4.7 New GIDL/GISL*/
3207 #define BSIM4_MOD_PRGISL           467                  /* v4.7 New GIDL/GISL*/
3208 #define BSIM4_MOD_GIDLMOD          379                        /* v4.7 New GIDL/GISL*/
3209 #define BSIM4_MOD_DVTP2           468                        /* v4.7 NEW DIBL/Rout*/
3210 #define BSIM4_MOD_DVTP3           469                        /* v4.7 NEW DIBL/Rout*/
3211 #define BSIM4_MOD_DVTP4           470                        /* v4.7 NEW DIBL/Rout*/
3212 #define BSIM4_MOD_DVTP5           471                        /* v4.7 NEW DIBL/Rout*/
3213 #define BSIM4_MOD_LDVTP2          472                        /* v4.7 NEW DIBL/Rout*/
3214 #define BSIM4_MOD_LDVTP3          473                        /* v4.7 NEW DIBL/Rout*/
3215 #define BSIM4_MOD_LDVTP4          474                        /* v4.7 NEW DIBL/Rout*/
3216 #define BSIM4_MOD_LDVTP5          475                        /* v4.7 NEW DIBL/Rout*/
3217 #define BSIM4_MOD_WDVTP2          476                        /* v4.7 NEW DIBL/Rout*/
3218 #define BSIM4_MOD_WDVTP3          477                        /* v4.7 NEW DIBL/Rout*/
3219 #define BSIM4_MOD_WDVTP4          478                        /* v4.7 NEW DIBL/Rout*/
3220 #define BSIM4_MOD_WDVTP5          479                        /* v4.7 NEW DIBL/Rout*/
3221 #define BSIM4_MOD_PDVTP2          480                        /* v4.7 NEW DIBL/Rout*/
3222 #define BSIM4_MOD_PDVTP3          298                         /* v4.7 NEW DIBL/Rout*/
3223 #define BSIM4_MOD_PDVTP4          299                         /* v4.7 NEW DIBL/Rout*/
3224 #define BSIM4_MOD_PDVTP5          300                         /* v4.7 NEW DIBL/Rout*/
3225 
3226 /* Width dependence */
3227 #define BSIM4_MOD_WCDSC            481
3228 #define BSIM4_MOD_WCDSCB           482
3229 #define BSIM4_MOD_WCIT             483
3230 #define BSIM4_MOD_WNFACTOR         484
3231 #define BSIM4_MOD_WXJ              485
3232 #define BSIM4_MOD_WVSAT            486
3233 #define BSIM4_MOD_WAT              487
3234 #define BSIM4_MOD_WA0              488
3235 #define BSIM4_MOD_WA1              489
3236 #define BSIM4_MOD_WA2              490
3237 #define BSIM4_MOD_WKETA            491
3238 #define BSIM4_MOD_WNSUB            492
3239 #define BSIM4_MOD_WNDEP            493
3240 #define BSIM4_MOD_WNGATE           495
3241 #define BSIM4_MOD_WGAMMA1          496
3242 #define BSIM4_MOD_WGAMMA2          497
3243 #define BSIM4_MOD_WVBX             498
3244 #define BSIM4_MOD_WVBM             500
3245 #define BSIM4_MOD_WXT              502
3246 #define BSIM4_MOD_WK1              505
3247 #define BSIM4_MOD_WKT1             506
3248 #define BSIM4_MOD_WKT1L            507
3249 #define BSIM4_MOD_WK2              508
3250 #define BSIM4_MOD_WKT2             509
3251 #define BSIM4_MOD_WK3              510
3252 #define BSIM4_MOD_WK3B             511
3253 #define BSIM4_MOD_WW0              512
3254 #define BSIM4_MOD_WLPE0            513
3255 #define BSIM4_MOD_WDVT0            514
3256 #define BSIM4_MOD_WDVT1            515
3257 #define BSIM4_MOD_WDVT2            516
3258 #define BSIM4_MOD_WDVT0W           517
3259 #define BSIM4_MOD_WDVT1W           518
3260 #define BSIM4_MOD_WDVT2W           519
3261 #define BSIM4_MOD_WDROUT           520
3262 #define BSIM4_MOD_WDSUB            521
3263 #define BSIM4_MOD_WVTH0            522
3264 #define BSIM4_MOD_WUA              523
3265 #define BSIM4_MOD_WUA1             524
3266 #define BSIM4_MOD_WUB              525
3267 #define BSIM4_MOD_WUB1             526
3268 #define BSIM4_MOD_WUC              527
3269 #define BSIM4_MOD_WUC1             528
3270 #define BSIM4_MOD_WU0              529
3271 #define BSIM4_MOD_WUTE             530
3272 #define BSIM4_MOD_WVOFF            531
3273 #define BSIM4_MOD_WDELTA           532
3274 #define BSIM4_MOD_WRDSW            533
3275 #define BSIM4_MOD_WPRT             534
3276 #define BSIM4_MOD_WLDD             535
3277 #define BSIM4_MOD_WETA             536
3278 #define BSIM4_MOD_WETA0            537
3279 #define BSIM4_MOD_WETAB            538
3280 #define BSIM4_MOD_WPCLM            539
3281 #define BSIM4_MOD_WPDIBL1          540
3282 #define BSIM4_MOD_WPDIBL2          541
3283 #define BSIM4_MOD_WPSCBE1          542
3284 #define BSIM4_MOD_WPSCBE2          543
3285 #define BSIM4_MOD_WPVAG            544
3286 #define BSIM4_MOD_WWR              545
3287 #define BSIM4_MOD_WDWG             546
3288 #define BSIM4_MOD_WDWB             547
3289 #define BSIM4_MOD_WB0              548
3290 #define BSIM4_MOD_WB1              549
3291 #define BSIM4_MOD_WALPHA0          550
3292 #define BSIM4_MOD_WBETA0           551
3293 #define BSIM4_MOD_WPDIBLB          554
3294 #define BSIM4_MOD_WPRWG            555
3295 #define BSIM4_MOD_WPRWB            556
3296 #define BSIM4_MOD_WCDSCD           557
3297 #define BSIM4_MOD_WAGS             558
3298 
3299 #define BSIM4_MOD_WFRINGE          561
3300 #define BSIM4_MOD_WCGSL            563
3301 #define BSIM4_MOD_WCGDL            564
3302 #define BSIM4_MOD_WCKAPPAS         565
3303 #define BSIM4_MOD_WCF              566
3304 #define BSIM4_MOD_WCLC             567
3305 #define BSIM4_MOD_WCLE             568
3306 #define BSIM4_MOD_WVFBCV           569
3307 #define BSIM4_MOD_WACDE            570
3308 #define BSIM4_MOD_WMOIN            571
3309 #define BSIM4_MOD_WNOFF            572
3310 #define BSIM4_MOD_WALPHA1          574
3311 #define BSIM4_MOD_WVFB             575
3312 #define BSIM4_MOD_WVOFFCV          576
3313 #define BSIM4_MOD_WAGIDL           577
3314 #define BSIM4_MOD_WBGIDL           578
3315 #define BSIM4_MOD_WEGIDL           579
3316 #define BSIM4_MOD_WXRCRG1          580
3317 #define BSIM4_MOD_WXRCRG2          581
3318 #define BSIM4_MOD_WEU              582
3319 #define BSIM4_MOD_WMINV            583
3320 #define BSIM4_MOD_WPDITS           584
3321 #define BSIM4_MOD_WPDITSD          585
3322 #define BSIM4_MOD_WFPROUT          586
3323 #define BSIM4_MOD_WLPEB            587
3324 #define BSIM4_MOD_WDVTP0           588
3325 #define BSIM4_MOD_WDVTP1           589
3326 #define BSIM4_MOD_WCGIDL           590
3327 #define BSIM4_MOD_WPHIN            591
3328 #define BSIM4_MOD_WRSW             592
3329 #define BSIM4_MOD_WRDW             593
3330 #define BSIM4_MOD_WNSD             594
3331 #define BSIM4_MOD_WCKAPPAD         595
3332 #define BSIM4_MOD_WAIGC            596
3333 #define BSIM4_MOD_WBIGC            597
3334 #define BSIM4_MOD_WCIGC            598
3335 #define BSIM4_MOD_WAIGBACC         599
3336 #define BSIM4_MOD_WBIGBACC         600
3337 #define BSIM4_MOD_WCIGBACC         601
3338 #define BSIM4_MOD_WAIGBINV         602
3339 #define BSIM4_MOD_WBIGBINV         603
3340 #define BSIM4_MOD_WCIGBINV         604
3341 #define BSIM4_MOD_WNIGC            605
3342 #define BSIM4_MOD_WNIGBACC         606
3343 #define BSIM4_MOD_WNIGBINV         607
3344 #define BSIM4_MOD_WNTOX            608
3345 #define BSIM4_MOD_WEIGBINV         609
3346 #define BSIM4_MOD_WPIGCD           610
3347 #define BSIM4_MOD_WPOXEDGE         611
3348 #define BSIM4_MOD_WAIGSD           612
3349 #define BSIM4_MOD_WBIGSD           613
3350 #define BSIM4_MOD_WCIGSD           614
3351 #define BSIM4_MOD_WLAMBDA          615
3352 #define BSIM4_MOD_WVTL             616
3353 #define BSIM4_MOD_WXN              617
3354 #define BSIM4_MOD_WVFBSDOFF        618
3355 #define BSIM4_MOD_WUD              619
3356 #define BSIM4_MOD_WUD1             620
3357 #define BSIM4_MOD_WUP              621
3358 #define BSIM4_MOD_WLP              622
3359 #define BSIM4_MOD_WMINVCV          623
3360 
3361 /* Cross-term dependence */
3362 #define BSIM4_MOD_PCDSC            661
3363 #define BSIM4_MOD_PCDSCB           662
3364 #define BSIM4_MOD_PCIT             663
3365 #define BSIM4_MOD_PNFACTOR         664
3366 #define BSIM4_MOD_PXJ              665
3367 #define BSIM4_MOD_PVSAT            666
3368 #define BSIM4_MOD_PAT              667
3369 #define BSIM4_MOD_PA0              668
3370 #define BSIM4_MOD_PA1              669
3371 #define BSIM4_MOD_PA2              670
3372 #define BSIM4_MOD_PKETA            671
3373 #define BSIM4_MOD_PNSUB            672
3374 #define BSIM4_MOD_PNDEP            673
3375 #define BSIM4_MOD_PNGATE           675
3376 #define BSIM4_MOD_PGAMMA1          676
3377 #define BSIM4_MOD_PGAMMA2          677
3378 #define BSIM4_MOD_PVBX             678
3379 
3380 #define BSIM4_MOD_PVBM             680
3381 
3382 #define BSIM4_MOD_PXT              682
3383 #define BSIM4_MOD_PK1              685
3384 #define BSIM4_MOD_PKT1             686
3385 #define BSIM4_MOD_PKT1L            687
3386 #define BSIM4_MOD_PK2              688
3387 #define BSIM4_MOD_PKT2             689
3388 #define BSIM4_MOD_PK3              690
3389 #define BSIM4_MOD_PK3B             691
3390 #define BSIM4_MOD_PW0              692
3391 #define BSIM4_MOD_PLPE0            693
3392 
3393 #define BSIM4_MOD_PDVT0            694
3394 #define BSIM4_MOD_PDVT1            695
3395 #define BSIM4_MOD_PDVT2            696
3396 
3397 #define BSIM4_MOD_PDVT0W           697
3398 #define BSIM4_MOD_PDVT1W           698
3399 #define BSIM4_MOD_PDVT2W           699
3400 
3401 #define BSIM4_MOD_PDROUT           700
3402 #define BSIM4_MOD_PDSUB            701
3403 #define BSIM4_MOD_PVTH0            702
3404 #define BSIM4_MOD_PUA              703
3405 #define BSIM4_MOD_PUA1             704
3406 #define BSIM4_MOD_PUB              705
3407 #define BSIM4_MOD_PUB1             706
3408 #define BSIM4_MOD_PUC              707
3409 #define BSIM4_MOD_PUC1             708
3410 #define BSIM4_MOD_PU0              709
3411 #define BSIM4_MOD_PUTE             710
3412 #define BSIM4_MOD_PVOFF            711
3413 #define BSIM4_MOD_PDELTA           712
3414 #define BSIM4_MOD_PRDSW            713
3415 #define BSIM4_MOD_PPRT             714
3416 #define BSIM4_MOD_PLDD             715
3417 #define BSIM4_MOD_PETA             716
3418 #define BSIM4_MOD_PETA0            717
3419 #define BSIM4_MOD_PETAB            718
3420 #define BSIM4_MOD_PPCLM            719
3421 #define BSIM4_MOD_PPDIBL1          720
3422 #define BSIM4_MOD_PPDIBL2          721
3423 #define BSIM4_MOD_PPSCBE1          722
3424 #define BSIM4_MOD_PPSCBE2          723
3425 #define BSIM4_MOD_PPVAG            724
3426 #define BSIM4_MOD_PWR              725
3427 #define BSIM4_MOD_PDWG             726
3428 #define BSIM4_MOD_PDWB             727
3429 #define BSIM4_MOD_PB0              728
3430 #define BSIM4_MOD_PB1              729
3431 #define BSIM4_MOD_PALPHA0          730
3432 #define BSIM4_MOD_PBETA0           731
3433 #define BSIM4_MOD_PPDIBLB          734
3434 
3435 #define BSIM4_MOD_PPRWG            735
3436 #define BSIM4_MOD_PPRWB            736
3437 
3438 #define BSIM4_MOD_PCDSCD           737
3439 #define BSIM4_MOD_PAGS             738
3440 
3441 #define BSIM4_MOD_PFRINGE          741
3442 #define BSIM4_MOD_PCGSL            743
3443 #define BSIM4_MOD_PCGDL            744
3444 #define BSIM4_MOD_PCKAPPAS         745
3445 #define BSIM4_MOD_PCF              746
3446 #define BSIM4_MOD_PCLC             747
3447 #define BSIM4_MOD_PCLE             748
3448 #define BSIM4_MOD_PVFBCV           749
3449 #define BSIM4_MOD_PACDE            750
3450 #define BSIM4_MOD_PMOIN            751
3451 #define BSIM4_MOD_PNOFF            752
3452 #define BSIM4_MOD_PALPHA1          754
3453 #define BSIM4_MOD_PVFB             755
3454 #define BSIM4_MOD_PVOFFCV          756
3455 #define BSIM4_MOD_PAGIDL           757
3456 #define BSIM4_MOD_PBGIDL           758
3457 #define BSIM4_MOD_PEGIDL           759
3458 #define BSIM4_MOD_PXRCRG1          760
3459 #define BSIM4_MOD_PXRCRG2          761
3460 #define BSIM4_MOD_PEU              762
3461 #define BSIM4_MOD_PMINV            763
3462 #define BSIM4_MOD_PPDITS           764
3463 #define BSIM4_MOD_PPDITSD          765
3464 #define BSIM4_MOD_PFPROUT          766
3465 #define BSIM4_MOD_PLPEB            767
3466 #define BSIM4_MOD_PDVTP0           768
3467 #define BSIM4_MOD_PDVTP1           769
3468 #define BSIM4_MOD_PCGIDL           770
3469 #define BSIM4_MOD_PPHIN            771
3470 #define BSIM4_MOD_PRSW             772
3471 #define BSIM4_MOD_PRDW             773
3472 #define BSIM4_MOD_PNSD             774
3473 #define BSIM4_MOD_PCKAPPAD         775
3474 #define BSIM4_MOD_PAIGC            776
3475 #define BSIM4_MOD_PBIGC            777
3476 #define BSIM4_MOD_PCIGC            778
3477 #define BSIM4_MOD_PAIGBACC         779
3478 #define BSIM4_MOD_PBIGBACC         780
3479 #define BSIM4_MOD_PCIGBACC         781
3480 #define BSIM4_MOD_PAIGBINV         782
3481 #define BSIM4_MOD_PBIGBINV         783
3482 #define BSIM4_MOD_PCIGBINV         784
3483 #define BSIM4_MOD_PNIGC            785
3484 #define BSIM4_MOD_PNIGBACC         786
3485 #define BSIM4_MOD_PNIGBINV         787
3486 #define BSIM4_MOD_PNTOX            788
3487 #define BSIM4_MOD_PEIGBINV         789
3488 #define BSIM4_MOD_PPIGCD           790
3489 #define BSIM4_MOD_PPOXEDGE         791
3490 #define BSIM4_MOD_PAIGSD           792
3491 #define BSIM4_MOD_PBIGSD           793
3492 #define BSIM4_MOD_PCIGSD           794
3493 
3494 #define BSIM4_MOD_SAREF            795
3495 #define BSIM4_MOD_SBREF            796
3496 #define BSIM4_MOD_KU0              797
3497 #define BSIM4_MOD_KVSAT            798
3498 #define BSIM4_MOD_TKU0             799
3499 #define BSIM4_MOD_LLODKU0          800
3500 #define BSIM4_MOD_WLODKU0          801
3501 #define BSIM4_MOD_LLODVTH          802
3502 #define BSIM4_MOD_WLODVTH          803
3503 #define BSIM4_MOD_LKU0             804
3504 #define BSIM4_MOD_WKU0             805
3505 #define BSIM4_MOD_PKU0             806
3506 #define BSIM4_MOD_KVTH0            807
3507 #define BSIM4_MOD_LKVTH0           808
3508 #define BSIM4_MOD_WKVTH0           809
3509 #define BSIM4_MOD_PKVTH0           810
3510 #define BSIM4_MOD_WLOD                   811
3511 #define BSIM4_MOD_STK2                   812
3512 #define BSIM4_MOD_LODK2                   813
3513 #define BSIM4_MOD_STETA0           814
3514 #define BSIM4_MOD_LODETA0           815
3515 
3516 #define BSIM4_MOD_WEB              816
3517 #define BSIM4_MOD_WEC              817
3518 #define BSIM4_MOD_KVTH0WE          818
3519 #define BSIM4_MOD_K2WE             819
3520 #define BSIM4_MOD_KU0WE            820
3521 #define BSIM4_MOD_SCREF            821
3522 #define BSIM4_MOD_WPEMOD           822
3523 #define BSIM4_MOD_PMINVCV          823
3524 
3525 #define BSIM4_MOD_PLAMBDA          825
3526 #define BSIM4_MOD_PVTL             826
3527 #define BSIM4_MOD_PXN              827
3528 #define BSIM4_MOD_PVFBSDOFF        828
3529 
3530 #define BSIM4_MOD_TNOM             831
3531 #define BSIM4_MOD_CGSO             832
3532 #define BSIM4_MOD_CGDO             833
3533 #define BSIM4_MOD_CGBO             834
3534 #define BSIM4_MOD_XPART            835
3535 #define BSIM4_MOD_RSH              836
3536 #define BSIM4_MOD_JSS              837
3537 #define BSIM4_MOD_PBS              838
3538 #define BSIM4_MOD_MJS              839
3539 #define BSIM4_MOD_PBSWS            840
3540 #define BSIM4_MOD_MJSWS            841
3541 #define BSIM4_MOD_CJS              842
3542 #define BSIM4_MOD_CJSWS            843
3543 #define BSIM4_MOD_NMOS             844
3544 #define BSIM4_MOD_PMOS             845
3545 #define BSIM4_MOD_NOIA             846
3546 #define BSIM4_MOD_NOIB             847
3547 #define BSIM4_MOD_NOIC             848
3548 #define BSIM4_MOD_LINT             849
3549 #define BSIM4_MOD_LL               850
3550 #define BSIM4_MOD_LLN              851
3551 #define BSIM4_MOD_LW               852
3552 #define BSIM4_MOD_LWN              853
3553 #define BSIM4_MOD_LWL              854
3554 #define BSIM4_MOD_LMIN             855
3555 #define BSIM4_MOD_LMAX             856
3556 #define BSIM4_MOD_WINT             857
3557 #define BSIM4_MOD_WL               858
3558 #define BSIM4_MOD_WLN              859
3559 #define BSIM4_MOD_WW               860
3560 #define BSIM4_MOD_WWN              861
3561 #define BSIM4_MOD_WWL              862
3562 #define BSIM4_MOD_WMIN             863
3563 #define BSIM4_MOD_WMAX             864
3564 #define BSIM4_MOD_DWC              865
3565 #define BSIM4_MOD_DLC              866
3566 #define BSIM4_MOD_XL               867
3567 #define BSIM4_MOD_XW               868
3568 #define BSIM4_MOD_EM               869
3569 #define BSIM4_MOD_EF               870
3570 #define BSIM4_MOD_AF               871
3571 #define BSIM4_MOD_KF               872
3572 #define BSIM4_MOD_NJS              873
3573 #define BSIM4_MOD_XTIS             874
3574 #define BSIM4_MOD_PBSWGS           875
3575 #define BSIM4_MOD_MJSWGS           876
3576 #define BSIM4_MOD_CJSWGS           877
3577 #define BSIM4_MOD_JSWS             878
3578 #define BSIM4_MOD_LLC              879
3579 #define BSIM4_MOD_LWC              880
3580 #define BSIM4_MOD_LWLC             881
3581 #define BSIM4_MOD_WLC              882
3582 #define BSIM4_MOD_WWC              883
3583 #define BSIM4_MOD_WWLC             884
3584 #define BSIM4_MOD_DWJ              885
3585 #define BSIM4_MOD_JSD              886
3586 #define BSIM4_MOD_PBD              887
3587 #define BSIM4_MOD_MJD              888
3588 #define BSIM4_MOD_PBSWD            889
3589 #define BSIM4_MOD_MJSWD            890
3590 #define BSIM4_MOD_CJD              891
3591 #define BSIM4_MOD_CJSWD            892
3592 #define BSIM4_MOD_NJD              893
3593 #define BSIM4_MOD_XTID             894
3594 #define BSIM4_MOD_PBSWGD           895
3595 #define BSIM4_MOD_MJSWGD           896
3596 #define BSIM4_MOD_CJSWGD           897
3597 #define BSIM4_MOD_JSWD             898
3598 #define BSIM4_MOD_DLCIG            899
3599 
3600 /* trap-assisted tunneling */
3601 
3602 #define BSIM4_MOD_JTSS             900
3603 #define BSIM4_MOD_JTSD                   901
3604 #define BSIM4_MOD_JTSSWS           902
3605 #define BSIM4_MOD_JTSSWD           903
3606 #define BSIM4_MOD_JTSSWGS           904
3607 #define BSIM4_MOD_JTSSWGD           905
3608 #define BSIM4_MOD_NJTS                    906
3609 #define BSIM4_MOD_NJTSSW           907
3610 #define BSIM4_MOD_NJTSSWG           908
3611 #define BSIM4_MOD_XTSS                   909
3612 #define BSIM4_MOD_XTSD                   910
3613 #define BSIM4_MOD_XTSSWS           911
3614 #define BSIM4_MOD_XTSSWD           912
3615 #define BSIM4_MOD_XTSSWGS           913
3616 #define BSIM4_MOD_XTSSWGD           914
3617 #define BSIM4_MOD_TNJTS                   915
3618 #define BSIM4_MOD_TNJTSSW           916
3619 #define BSIM4_MOD_TNJTSSWG           917
3620 #define BSIM4_MOD_VTSS             918
3621 #define BSIM4_MOD_VTSD                   919
3622 #define BSIM4_MOD_VTSSWS           920
3623 #define BSIM4_MOD_VTSSWD           921
3624 #define BSIM4_MOD_VTSSWGS           922
3625 #define BSIM4_MOD_VTSSWGD           923
3626 #define BSIM4_MOD_PUD              924
3627 #define BSIM4_MOD_PUD1             925
3628 #define BSIM4_MOD_PUP              926
3629 #define BSIM4_MOD_PLP              927
3630 #define BSIM4_MOD_JTWEFF           928
3631 
3632 /* device questions */
3633 #define BSIM4_DNODE                945
3634 #define BSIM4_GNODEEXT             946
3635 #define BSIM4_SNODE                947
3636 #define BSIM4_BNODE                948
3637 #define BSIM4_DNODEPRIME           949
3638 #define BSIM4_GNODEPRIME           950
3639 #define BSIM4_GNODEMIDE            951
3640 #define BSIM4_GNODEMID             952
3641 #define BSIM4_SNODEPRIME           953
3642 #define BSIM4_BNODEPRIME           954
3643 #define BSIM4_DBNODE               955
3644 #define BSIM4_SBNODE               956
3645 #define BSIM4_VBD                  957
3646 #define BSIM4_VBS                  958
3647 #define BSIM4_VGS                  959
3648 #define BSIM4_VDS                  960
3649 #define BSIM4_CD                   961
3650 #define BSIM4_CBS                  962
3651 #define BSIM4_CBD                  963
3652 #define BSIM4_GM                   964
3653 #define BSIM4_GDS                  965
3654 #define BSIM4_GMBS                 966
3655 #define BSIM4_GBD                  967
3656 #define BSIM4_GBS                  968
3657 #define BSIM4_QB                   969
3658 #define BSIM4_CQB                  970
3659 #define BSIM4_QG                   971
3660 #define BSIM4_CQG                  972
3661 #define BSIM4_QD                   973
3662 #define BSIM4_CQD                  974
3663 #define BSIM4_CGGB                 975
3664 #define BSIM4_CGDB                 976
3665 #define BSIM4_CGSB                 977
3666 #define BSIM4_CBGB                 978
3667 #define BSIM4_CAPBD                979
3668 #define BSIM4_CQBD                 980
3669 #define BSIM4_CAPBS                981
3670 #define BSIM4_CQBS                 982
3671 #define BSIM4_CDGB                 983
3672 #define BSIM4_CDDB                 984
3673 #define BSIM4_CDSB                 985
3674 #define BSIM4_VON                  986
3675 #define BSIM4_VDSAT                987
3676 #define BSIM4_QBS                  988
3677 #define BSIM4_QBD                  989
3678 #define BSIM4_SOURCECONDUCT        990
3679 #define BSIM4_DRAINCONDUCT         991
3680 #define BSIM4_CBDB                 992
3681 #define BSIM4_CBSB                 993
3682 #define BSIM4_CSUB                   994
3683 #define BSIM4_QINV                   995
3684 #define BSIM4_IGIDL                   996
3685 #define BSIM4_CSGB                 997
3686 #define BSIM4_CSDB                 998
3687 #define BSIM4_CSSB                 999
3688 #define BSIM4_CGBB                 1000
3689 #define BSIM4_CDBB                 1001
3690 #define BSIM4_CSBB                 1002
3691 #define BSIM4_CBBB                 1003
3692 #define BSIM4_QS                   1004
3693 #define BSIM4_IGISL                   1005
3694 #define BSIM4_IGS                   1006
3695 #define BSIM4_IGD                   1007
3696 #define BSIM4_IGB                   1008
3697 #define BSIM4_IGCS                   1009
3698 #define BSIM4_IGCD                   1010
3699 #define BSIM4_QDEF                   1011
3700 #define BSIM4_DELVT0                   1012
3701 #define BSIM4_GCRG                 1013
3702 #define BSIM4_GTAU                 1014
3703 
3704 #define BSIM4_MOD_LTVOFF           1051
3705 #define BSIM4_MOD_LTVFBSDOFF       1052
3706 #define BSIM4_MOD_WTVOFF           1053
3707 #define BSIM4_MOD_WTVFBSDOFF       1054
3708 #define BSIM4_MOD_PTVOFF           1055
3709 #define BSIM4_MOD_PTVFBSDOFF       1056
3710 
3711 #define BSIM4_MOD_LKVTH0WE          1061
3712 #define BSIM4_MOD_LK2WE             1062
3713 #define BSIM4_MOD_LKU0WE                1063
3714 #define BSIM4_MOD_WKVTH0WE          1064
3715 #define BSIM4_MOD_WK2WE             1065
3716 #define BSIM4_MOD_WKU0WE                1066
3717 #define BSIM4_MOD_PKVTH0WE          1067
3718 #define BSIM4_MOD_PK2WE             1068
3719 #define BSIM4_MOD_PKU0WE                1069
3720 
3721 #define BSIM4_MOD_RBPS0               1101
3722 #define BSIM4_MOD_RBPSL               1102
3723 #define BSIM4_MOD_RBPSW               1103
3724 #define BSIM4_MOD_RBPSNF              1104
3725 #define BSIM4_MOD_RBPD0               1105
3726 #define BSIM4_MOD_RBPDL               1106
3727 #define BSIM4_MOD_RBPDW               1107
3728 #define BSIM4_MOD_RBPDNF              1108
3729 
3730 #define BSIM4_MOD_RBPBX0              1109
3731 #define BSIM4_MOD_RBPBXL              1110
3732 #define BSIM4_MOD_RBPBXW              1111
3733 #define BSIM4_MOD_RBPBXNF             1112
3734 #define BSIM4_MOD_RBPBY0              1113
3735 #define BSIM4_MOD_RBPBYL              1114
3736 #define BSIM4_MOD_RBPBYW              1115
3737 #define BSIM4_MOD_RBPBYNF             1116
3738 
3739 #define BSIM4_MOD_RBSBX0              1117
3740 #define BSIM4_MOD_RBSBY0              1118
3741 #define BSIM4_MOD_RBDBX0              1119
3742 #define BSIM4_MOD_RBDBY0              1120
3743 
3744 #define BSIM4_MOD_RBSDBXL             1121
3745 #define BSIM4_MOD_RBSDBXW             1122
3746 #define BSIM4_MOD_RBSDBXNF            1123
3747 #define BSIM4_MOD_RBSDBYL             1124
3748 #define BSIM4_MOD_RBSDBYW             1125
3749 #define BSIM4_MOD_RBSDBYNF            1126
3750 
3751 #define BSIM4_MOD_AGISL               1200
3752 #define BSIM4_MOD_BGISL               1201
3753 #define BSIM4_MOD_EGISL               1202
3754 #define BSIM4_MOD_CGISL               1203
3755 #define BSIM4_MOD_LAGISL              1204
3756 #define BSIM4_MOD_LBGISL              1205
3757 #define BSIM4_MOD_LEGISL              1206
3758 #define BSIM4_MOD_LCGISL              1207
3759 #define BSIM4_MOD_WAGISL              1208
3760 #define BSIM4_MOD_WBGISL              1209
3761 #define BSIM4_MOD_WEGISL              1210
3762 #define BSIM4_MOD_WCGISL              1211
3763 #define BSIM4_MOD_PAGISL              1212
3764 #define BSIM4_MOD_PBGISL              1213
3765 #define BSIM4_MOD_PEGISL              1214
3766 #define BSIM4_MOD_PCGISL              1215
3767 
3768 #define BSIM4_MOD_AIGS                1220
3769 #define BSIM4_MOD_BIGS                1221
3770 #define BSIM4_MOD_CIGS                1222
3771 #define BSIM4_MOD_LAIGS               1223
3772 #define BSIM4_MOD_LBIGS               1224
3773 #define BSIM4_MOD_LCIGS               1225
3774 #define BSIM4_MOD_WAIGS               1226
3775 #define BSIM4_MOD_WBIGS               1227
3776 #define BSIM4_MOD_WCIGS               1228
3777 #define BSIM4_MOD_PAIGS               1229
3778 #define BSIM4_MOD_PBIGS               1230
3779 #define BSIM4_MOD_PCIGS               1231
3780 #define BSIM4_MOD_AIGD                1232
3781 #define BSIM4_MOD_BIGD                1233
3782 #define BSIM4_MOD_CIGD                1234
3783 #define BSIM4_MOD_LAIGD               1235
3784 #define BSIM4_MOD_LBIGD               1236
3785 #define BSIM4_MOD_LCIGD               1237
3786 #define BSIM4_MOD_WAIGD               1238
3787 #define BSIM4_MOD_WBIGD               1239
3788 #define BSIM4_MOD_WCIGD               1240
3789 #define BSIM4_MOD_PAIGD               1241
3790 #define BSIM4_MOD_PBIGD               1242
3791 #define BSIM4_MOD_PCIGD               1243
3792 #define BSIM4_MOD_DLCIGD              1244
3793 
3794 #define BSIM4_MOD_NJTSD               1250
3795 #define BSIM4_MOD_NJTSSWD             1251
3796 #define BSIM4_MOD_NJTSSWGD            1252
3797 #define BSIM4_MOD_TNJTSD              1253
3798 #define BSIM4_MOD_TNJTSSWD            1254
3799 #define BSIM4_MOD_TNJTSSWGD           1255
3800 
3801 /* v4.7 temp dep of leakage current  */
3802 
3803 #define BSIM4_MOD_TNFACTOR          1256
3804 #define BSIM4_MOD_TETA0                    1257
3805 #define BSIM4_MOD_TVOFFCV           1258
3806 #define BSIM4_MOD_LTNFACTOR         1260
3807 #define BSIM4_MOD_LTETA0            1261
3808 #define BSIM4_MOD_LTVOFFCV          1262
3809 #define BSIM4_MOD_WTNFACTOR         1264
3810 #define BSIM4_MOD_WTETA0            1265
3811 #define BSIM4_MOD_WTVOFFCV          1266
3812 #define BSIM4_MOD_PTNFACTOR         1268
3813 #define BSIM4_MOD_PTETA0            1269
3814 #define BSIM4_MOD_PTVOFFCV          1270
3815 
3816 /* tnoiMod=2 (v4.7) */
3817 #define BSIM4_MOD_TNOIC             1272
3818 #define BSIM4_MOD_RNOIC             1273
3819 
3820 #define BSIM4_MOD_VGS_MAX           1301
3821 #define BSIM4_MOD_VGD_MAX           1302
3822 #define BSIM4_MOD_VGB_MAX           1303
3823 #define BSIM4_MOD_VDS_MAX           1304
3824 #define BSIM4_MOD_VBS_MAX           1305
3825 #define BSIM4_MOD_VBD_MAX           1306
3826 #define BSIM4_MOD_VGSR_MAX          1307
3827 #define BSIM4_MOD_VGDR_MAX          1308
3828 #define BSIM4_MOD_VGBR_MAX          1309
3829 #define BSIM4_MOD_VBSR_MAX          1310
3830 #define BSIM4_MOD_VBDR_MAX          1311
3831 
3832 #include "bsim4ext.h"
3833 
3834 extern void BSIM4evaluate(double,double,double,BSIM4instance*,BSIM4model*,
3835         double*,double*,double*, double*, double*, double*, double*,
3836         double*, double*, double*, double*, double*, double*, double*,
3837         double*, double*, double*, double*, CKTcircuit*);
3838 extern int BSIM4debug(BSIM4model*, BSIM4instance*, CKTcircuit*, int);
3839 extern int BSIM4checkModel(BSIM4model*, BSIM4instance*, CKTcircuit*);
3840 extern int BSIM4PAeffGeo(double, int, int, double, double, double, double, double *, double *, double *, double *);
3841 extern int BSIM4RdseffGeo(double, int, int, int, double, double, double, double, double, int, double *);
3842 extern int BSIM4RdsEndIso(double, double, double, double, double, double, int, int, double *);
3843 extern int BSIM4RdsEndSha(double, double, double, double, double, double, int, int, double *);
3844 
3845 #endif /*BSIM4*/
3846