1 /**** BSIM4v7.6.2 Released by Wenwei Yang 07/31/2008 ****/
2 
3 /**********
4 Copyright 2006 Regents of the University of California.  All rights reserved.
5 File: bsim4v7def.h
6 Author: 2000 Weidong Liu.
7 Authors: 2001- Xuemei Xi, Mohan Dunga, Ali Niknejad, Chenming Hu.
8 Authors: 2006- Mohan Dunga, Ali Niknejad, Chenming Hu
9 Authors: 2007- Mohan Dunga, Wenwei Yang, Ali Niknejad, Chenming Hu
10 Authors: 2008- Wenwei Yang, Ali Niknejad, Chenming Hu
11 Modified by Xuemei Xi, 11/15/2002.
12 Modified by Xuemei Xi, 05/09/2003.
13 Modified by Xuemei Xi, 03/04/2004.
14 Modified by Xuemei Xi, Mohan Dunga, 09/24/2004.
15 Modified by Xuemei Xi, 07/29/2005.
16 Modified by Mohan Dunga, 12/13/2006
17 Modified by Mohan Dunga, Wenwei Yang, 05/18/2007.
18 Modified by Wenwei Yang, 07/31/2008.
19  * Modified by Tanvir Morshed, Darsen Lu 03/27/2011
20 **********/
21 
22 #ifndef BSIM4v7
23 #define BSIM4v7
24 
25 #include "ngspice/ifsim.h"
26 #include "ngspice/gendefs.h"
27 #include "ngspice/cktdefs.h"
28 #include "ngspice/complex.h"
29 #include "ngspice/noisedef.h"
30 
31 typedef struct sBSIM4v7instance
32 {
33 
34     struct GENinstance gen;
35 
36 #define BSIM4v7modPtr(inst) ((struct sBSIM4v7model *)((inst)->gen.GENmodPtr))
37 #define BSIM4v7nextInstance(inst) ((struct sBSIM4v7instance *)((inst)->gen.GENnextInstance))
38 #define BSIM4v7name gen.GENname
39 #define BSIM4v7states gen.GENstate
40 
41     const int BSIM4v7dNode;
42     const int BSIM4v7gNodeExt;
43     const int BSIM4v7sNode;
44     const int BSIM4v7bNode;
45     int BSIM4v7dNodePrime;
46     int BSIM4v7gNodePrime;
47     int BSIM4v7gNodeMid;
48     int BSIM4v7sNodePrime;
49     int BSIM4v7bNodePrime;
50     int BSIM4v7dbNode;
51     int BSIM4v7sbNode;
52     int BSIM4v7qNode;
53 
54     double BSIM4v7ueff;
55     double BSIM4v7thetavth;
56     double BSIM4v7von;
57     double BSIM4v7vdsat;
58     double BSIM4v7cgdo;
59     double BSIM4v7qgdo;
60     double BSIM4v7cgso;
61     double BSIM4v7qgso;
62     double BSIM4v7grbsb;
63     double BSIM4v7grbdb;
64     double BSIM4v7grbpb;
65     double BSIM4v7grbps;
66     double BSIM4v7grbpd;
67 
68     double BSIM4v7vjsmFwd;
69     double BSIM4v7vjsmRev;
70     double BSIM4v7vjdmFwd;
71     double BSIM4v7vjdmRev;
72     double BSIM4v7XExpBVS;
73     double BSIM4v7XExpBVD;
74     double BSIM4v7SslpFwd;
75     double BSIM4v7SslpRev;
76     double BSIM4v7DslpFwd;
77     double BSIM4v7DslpRev;
78     double BSIM4v7IVjsmFwd;
79     double BSIM4v7IVjsmRev;
80     double BSIM4v7IVjdmFwd;
81     double BSIM4v7IVjdmRev;
82 
83     double BSIM4v7grgeltd;
84     double BSIM4v7Pseff;
85     double BSIM4v7Pdeff;
86     double BSIM4v7Aseff;
87     double BSIM4v7Adeff;
88 
89     double BSIM4v7l;
90     double BSIM4v7w;
91     double BSIM4v7drainArea;
92     double BSIM4v7sourceArea;
93     double BSIM4v7drainSquares;
94     double BSIM4v7sourceSquares;
95     double BSIM4v7drainPerimeter;
96     double BSIM4v7sourcePerimeter;
97     double BSIM4v7sourceConductance;
98     double BSIM4v7drainConductance;
99      /* stress effect instance param */
100     double BSIM4v7sa;
101     double BSIM4v7sb;
102     double BSIM4v7sd;
103     double BSIM4v7sca;
104     double BSIM4v7scb;
105     double BSIM4v7scc;
106     double BSIM4v7sc;
107 
108     double BSIM4v7rbdb;
109     double BSIM4v7rbsb;
110     double BSIM4v7rbpb;
111     double BSIM4v7rbps;
112     double BSIM4v7rbpd;
113 
114     double BSIM4v7delvto;
115     double BSIM4v7mulu0;
116     int BSIM4v7wnflag;
117     double BSIM4v7xgw;
118     double BSIM4v7ngcon;
119 
120      /* added here to account stress effect instance dependence */
121     double BSIM4v7u0temp;
122     double BSIM4v7vsattemp;
123     double BSIM4v7vth0;
124     double BSIM4v7vfb;
125     double BSIM4v7vfbzb;
126     double BSIM4v7vtfbphi1;
127     double BSIM4v7vtfbphi2;
128     double BSIM4v7k2;
129     double BSIM4v7vbsc;
130     double BSIM4v7k2ox;
131     double BSIM4v7eta0;
132 
133     double BSIM4v7icVDS;
134     double BSIM4v7icVGS;
135     double BSIM4v7icVBS;
136     double BSIM4v7m;
137     double BSIM4v7nf;
138     int BSIM4v7off;
139     int BSIM4v7mode;
140     int BSIM4v7trnqsMod;
141     int BSIM4v7acnqsMod;
142     int BSIM4v7rbodyMod;
143     int BSIM4v7rgateMod;
144     int BSIM4v7geoMod;
145     int BSIM4v7rgeoMod;
146     int BSIM4v7min;
147 
148 
149     /* OP point */
150     double BSIM4v7Vgsteff;
151     double BSIM4v7vgs_eff;
152     double BSIM4v7vgd_eff;
153     double BSIM4v7dvgs_eff_dvg;
154     double BSIM4v7dvgd_eff_dvg;
155     double BSIM4v7Vdseff;
156     double BSIM4v7nstar;
157     double BSIM4v7Abulk;
158     double BSIM4v7EsatL;
159     double BSIM4v7AbovVgst2Vtm;
160     double BSIM4v7qinv;
161     double BSIM4v7cd;
162     double BSIM4v7cbs;
163     double BSIM4v7cbd;
164     double BSIM4v7csub;
165     double BSIM4v7Igidl;
166     double BSIM4v7Igisl;
167     double BSIM4v7gm;
168     double BSIM4v7gds;
169     double BSIM4v7gmbs;
170     double BSIM4v7gbd;
171     double BSIM4v7gbs;
172     double BSIM4v7noiGd0;   /* tnoiMod=2 (v4.7) */
173     double BSIM4v7Coxeff;
174 
175     double BSIM4v7gbbs;
176     double BSIM4v7gbgs;
177     double BSIM4v7gbds;
178     double BSIM4v7ggidld;
179     double BSIM4v7ggidlg;
180     double BSIM4v7ggidls;
181     double BSIM4v7ggidlb;
182     double BSIM4v7ggisld;
183     double BSIM4v7ggislg;
184     double BSIM4v7ggisls;
185     double BSIM4v7ggislb;
186 
187     double BSIM4v7Igcs;
188     double BSIM4v7gIgcsg;
189     double BSIM4v7gIgcsd;
190     double BSIM4v7gIgcss;
191     double BSIM4v7gIgcsb;
192     double BSIM4v7Igcd;
193     double BSIM4v7gIgcdg;
194     double BSIM4v7gIgcdd;
195     double BSIM4v7gIgcds;
196     double BSIM4v7gIgcdb;
197 
198     double BSIM4v7Igs;
199     double BSIM4v7gIgsg;
200     double BSIM4v7gIgss;
201     double BSIM4v7Igd;
202     double BSIM4v7gIgdg;
203     double BSIM4v7gIgdd;
204 
205     double BSIM4v7Igb;
206     double BSIM4v7gIgbg;
207     double BSIM4v7gIgbd;
208     double BSIM4v7gIgbs;
209     double BSIM4v7gIgbb;
210 
211     double BSIM4v7grdsw;
212     double BSIM4v7IdovVds;
213     double BSIM4v7gcrg;
214     double BSIM4v7gcrgd;
215     double BSIM4v7gcrgg;
216     double BSIM4v7gcrgs;
217     double BSIM4v7gcrgb;
218 
219     double BSIM4v7gstot;
220     double BSIM4v7gstotd;
221     double BSIM4v7gstotg;
222     double BSIM4v7gstots;
223     double BSIM4v7gstotb;
224 
225     double BSIM4v7gdtot;
226     double BSIM4v7gdtotd;
227     double BSIM4v7gdtotg;
228     double BSIM4v7gdtots;
229     double BSIM4v7gdtotb;
230 
231     double BSIM4v7cggb;
232     double BSIM4v7cgdb;
233     double BSIM4v7cgsb;
234     double BSIM4v7cbgb;
235     double BSIM4v7cbdb;
236     double BSIM4v7cbsb;
237     double BSIM4v7cdgb;
238     double BSIM4v7cddb;
239     double BSIM4v7cdsb;
240     double BSIM4v7csgb;
241     double BSIM4v7csdb;
242     double BSIM4v7cssb;
243     double BSIM4v7cgbb;
244     double BSIM4v7cdbb;
245     double BSIM4v7csbb;
246     double BSIM4v7cbbb;
247     double BSIM4v7capbd;
248     double BSIM4v7capbs;
249 
250     double BSIM4v7cqgb;
251     double BSIM4v7cqdb;
252     double BSIM4v7cqsb;
253     double BSIM4v7cqbb;
254 
255     double BSIM4v7qgate;
256     double BSIM4v7qbulk;
257     double BSIM4v7qdrn;
258     double BSIM4v7qsrc;
259     double BSIM4v7qdef;
260 
261     double BSIM4v7qchqs;
262     double BSIM4v7taunet;
263     double BSIM4v7gtau;
264     double BSIM4v7gtg;
265     double BSIM4v7gtd;
266     double BSIM4v7gts;
267     double BSIM4v7gtb;
268     double BSIM4v7SjctTempRevSatCur;
269     double BSIM4v7DjctTempRevSatCur;
270     double BSIM4v7SswTempRevSatCur;
271     double BSIM4v7DswTempRevSatCur;
272     double BSIM4v7SswgTempRevSatCur;
273     double BSIM4v7DswgTempRevSatCur;
274 
275     struct bsim4SizeDependParam  *pParam;
276 
277     unsigned BSIM4v7lGiven :1;
278     unsigned BSIM4v7wGiven :1;
279     unsigned BSIM4v7mGiven :1;
280     unsigned BSIM4v7nfGiven :1;
281     unsigned BSIM4v7minGiven :1;
282     unsigned BSIM4v7drainAreaGiven :1;
283     unsigned BSIM4v7sourceAreaGiven    :1;
284     unsigned BSIM4v7drainSquaresGiven  :1;
285     unsigned BSIM4v7sourceSquaresGiven :1;
286     unsigned BSIM4v7drainPerimeterGiven    :1;
287     unsigned BSIM4v7sourcePerimeterGiven   :1;
288     unsigned BSIM4v7saGiven :1;
289     unsigned BSIM4v7sbGiven :1;
290     unsigned BSIM4v7sdGiven :1;
291     unsigned BSIM4v7scaGiven :1;
292     unsigned BSIM4v7scbGiven :1;
293     unsigned BSIM4v7sccGiven :1;
294     unsigned BSIM4v7scGiven :1;
295     unsigned BSIM4v7rbdbGiven   :1;
296     unsigned BSIM4v7rbsbGiven   :1;
297     unsigned BSIM4v7rbpbGiven   :1;
298     unsigned BSIM4v7rbpdGiven   :1;
299     unsigned BSIM4v7rbpsGiven   :1;
300     unsigned BSIM4v7delvtoGiven   :1;
301     unsigned BSIM4v7mulu0Given   :1;
302     unsigned BSIM4v7wnflagGiven   :1;
303     unsigned BSIM4v7xgwGiven   :1;
304     unsigned BSIM4v7ngconGiven   :1;
305     unsigned BSIM4v7icVDSGiven :1;
306     unsigned BSIM4v7icVGSGiven :1;
307     unsigned BSIM4v7icVBSGiven :1;
308     unsigned BSIM4v7trnqsModGiven :1;
309     unsigned BSIM4v7acnqsModGiven :1;
310     unsigned BSIM4v7rbodyModGiven :1;
311     unsigned BSIM4v7rgateModGiven :1;
312     unsigned BSIM4v7geoModGiven :1;
313     unsigned BSIM4v7rgeoModGiven :1;
314 
315 
316     double *BSIM4v7DPdPtr;
317     double *BSIM4v7DPdpPtr;
318     double *BSIM4v7DPgpPtr;
319     double *BSIM4v7DPgmPtr;
320     double *BSIM4v7DPspPtr;
321     double *BSIM4v7DPbpPtr;
322     double *BSIM4v7DPdbPtr;
323 
324     double *BSIM4v7DdPtr;
325     double *BSIM4v7DdpPtr;
326 
327     double *BSIM4v7GPdpPtr;
328     double *BSIM4v7GPgpPtr;
329     double *BSIM4v7GPgmPtr;
330     double *BSIM4v7GPgePtr;
331     double *BSIM4v7GPspPtr;
332     double *BSIM4v7GPbpPtr;
333 
334     double *BSIM4v7GMdpPtr;
335     double *BSIM4v7GMgpPtr;
336     double *BSIM4v7GMgmPtr;
337     double *BSIM4v7GMgePtr;
338     double *BSIM4v7GMspPtr;
339     double *BSIM4v7GMbpPtr;
340 
341     double *BSIM4v7GEdpPtr;
342     double *BSIM4v7GEgpPtr;
343     double *BSIM4v7GEgmPtr;
344     double *BSIM4v7GEgePtr;
345     double *BSIM4v7GEspPtr;
346     double *BSIM4v7GEbpPtr;
347 
348     double *BSIM4v7SPdpPtr;
349     double *BSIM4v7SPgpPtr;
350     double *BSIM4v7SPgmPtr;
351     double *BSIM4v7SPsPtr;
352     double *BSIM4v7SPspPtr;
353     double *BSIM4v7SPbpPtr;
354     double *BSIM4v7SPsbPtr;
355 
356     double *BSIM4v7SspPtr;
357     double *BSIM4v7SsPtr;
358 
359     double *BSIM4v7BPdpPtr;
360     double *BSIM4v7BPgpPtr;
361     double *BSIM4v7BPgmPtr;
362     double *BSIM4v7BPspPtr;
363     double *BSIM4v7BPdbPtr;
364     double *BSIM4v7BPbPtr;
365     double *BSIM4v7BPsbPtr;
366     double *BSIM4v7BPbpPtr;
367 
368     double *BSIM4v7DBdpPtr;
369     double *BSIM4v7DBdbPtr;
370     double *BSIM4v7DBbpPtr;
371     double *BSIM4v7DBbPtr;
372 
373     double *BSIM4v7SBspPtr;
374     double *BSIM4v7SBbpPtr;
375     double *BSIM4v7SBbPtr;
376     double *BSIM4v7SBsbPtr;
377 
378     double *BSIM4v7BdbPtr;
379     double *BSIM4v7BbpPtr;
380     double *BSIM4v7BsbPtr;
381     double *BSIM4v7BbPtr;
382 
383     double *BSIM4v7DgpPtr;
384     double *BSIM4v7DspPtr;
385     double *BSIM4v7DbpPtr;
386     double *BSIM4v7SdpPtr;
387     double *BSIM4v7SgpPtr;
388     double *BSIM4v7SbpPtr;
389 
390     double *BSIM4v7QdpPtr;
391     double *BSIM4v7QgpPtr;
392     double *BSIM4v7QspPtr;
393     double *BSIM4v7QbpPtr;
394     double *BSIM4v7QqPtr;
395     double *BSIM4v7DPqPtr;
396     double *BSIM4v7GPqPtr;
397     double *BSIM4v7SPqPtr;
398 
399 #ifdef USE_OMP
400     /* per instance storage of results, to update matrix at a later stge */
401     double BSIM4v7rhsdPrime;
402     double BSIM4v7rhsgPrime;
403     double BSIM4v7rhsgExt;
404     double BSIM4v7grhsMid;
405     double BSIM4v7rhsbPrime;
406     double BSIM4v7rhssPrime;
407     double BSIM4v7rhsdb;
408     double BSIM4v7rhssb;
409     double BSIM4v7rhsd;
410     double BSIM4v7rhss;
411     double BSIM4v7rhsq;
412 
413     double BSIM4v7_1;
414     double BSIM4v7_2;
415     double BSIM4v7_3;
416     double BSIM4v7_4;
417     double BSIM4v7_5;
418     double BSIM4v7_6;
419     double BSIM4v7_7;
420     double BSIM4v7_8;
421     double BSIM4v7_9;
422     double BSIM4v7_10;
423     double BSIM4v7_11;
424     double BSIM4v7_12;
425     double BSIM4v7_13;
426     double BSIM4v7_14;
427     double BSIM4v7_15;
428     double BSIM4v7_16;
429     double BSIM4v7_17;
430     double BSIM4v7_18;
431     double BSIM4v7_19;
432     double BSIM4v7_20;
433     double BSIM4v7_21;
434     double BSIM4v7_22;
435     double BSIM4v7_23;
436     double BSIM4v7_24;
437     double BSIM4v7_25;
438     double BSIM4v7_26;
439     double BSIM4v7_27;
440     double BSIM4v7_28;
441     double BSIM4v7_29;
442     double BSIM4v7_30;
443     double BSIM4v7_31;
444     double BSIM4v7_32;
445     double BSIM4v7_33;
446     double BSIM4v7_34;
447     double BSIM4v7_35;
448     double BSIM4v7_36;
449     double BSIM4v7_37;
450     double BSIM4v7_38;
451     double BSIM4v7_39;
452     double BSIM4v7_40;
453     double BSIM4v7_41;
454     double BSIM4v7_42;
455     double BSIM4v7_43;
456     double BSIM4v7_44;
457     double BSIM4v7_45;
458     double BSIM4v7_46;
459     double BSIM4v7_47;
460     double BSIM4v7_48;
461     double BSIM4v7_49;
462     double BSIM4v7_50;
463     double BSIM4v7_51;
464     double BSIM4v7_52;
465     double BSIM4v7_53;
466     double BSIM4v7_54;
467     double BSIM4v7_55;
468     double BSIM4v7_56;
469     double BSIM4v7_57;
470     double BSIM4v7_58;
471     double BSIM4v7_59;
472     double BSIM4v7_60;
473     double BSIM4v7_61;
474     double BSIM4v7_62;
475     double BSIM4v7_63;
476     double BSIM4v7_64;
477     double BSIM4v7_65;
478     double BSIM4v7_66;
479     double BSIM4v7_67;
480     double BSIM4v7_68;
481     double BSIM4v7_69;
482     double BSIM4v7_70;
483     double BSIM4v7_71;
484     double BSIM4v7_72;
485     double BSIM4v7_73;
486     double BSIM4v7_74;
487     double BSIM4v7_75;
488     double BSIM4v7_76;
489     double BSIM4v7_77;
490     double BSIM4v7_78;
491     double BSIM4v7_79;
492     double BSIM4v7_80;
493     double BSIM4v7_81;
494     double BSIM4v7_82;
495     double BSIM4v7_83;
496     double BSIM4v7_84;
497     double BSIM4v7_85;
498     double BSIM4v7_86;
499     double BSIM4v7_87;
500     double BSIM4v7_88;
501     double BSIM4v7_89;
502     double BSIM4v7_90;
503     double BSIM4v7_91;
504     double BSIM4v7_92;
505     double BSIM4v7_93;
506     double BSIM4v7_94;
507     double BSIM4v7_95;
508     double BSIM4v7_96;
509     double BSIM4v7_97;
510     double BSIM4v7_98;
511     double BSIM4v7_99;
512     double BSIM4v7_100;
513     double BSIM4v7_101;
514     double BSIM4v7_102;
515     double BSIM4v7_103;
516 #endif
517 
518 #define BSIM4v7vbd BSIM4v7states+ 0
519 #define BSIM4v7vbs BSIM4v7states+ 1
520 #define BSIM4v7vgs BSIM4v7states+ 2
521 #define BSIM4v7vds BSIM4v7states+ 3
522 #define BSIM4v7vdbs BSIM4v7states+ 4
523 #define BSIM4v7vdbd BSIM4v7states+ 5
524 #define BSIM4v7vsbs BSIM4v7states+ 6
525 #define BSIM4v7vges BSIM4v7states+ 7
526 #define BSIM4v7vgms BSIM4v7states+ 8
527 #define BSIM4v7vses BSIM4v7states+ 9
528 #define BSIM4v7vdes BSIM4v7states+ 10
529 
530 #define BSIM4v7qb BSIM4v7states+ 11
531 #define BSIM4v7cqb BSIM4v7states+ 12
532 #define BSIM4v7qg BSIM4v7states+ 13
533 #define BSIM4v7cqg BSIM4v7states+ 14
534 #define BSIM4v7qd BSIM4v7states+ 15
535 #define BSIM4v7cqd BSIM4v7states+ 16
536 #define BSIM4v7qgmid BSIM4v7states+ 17
537 #define BSIM4v7cqgmid BSIM4v7states+ 18
538 
539 #define BSIM4v7qbs  BSIM4v7states+ 19
540 #define BSIM4v7cqbs  BSIM4v7states+ 20
541 #define BSIM4v7qbd  BSIM4v7states+ 21
542 #define BSIM4v7cqbd  BSIM4v7states+ 22
543 
544 #define BSIM4v7qcheq BSIM4v7states+ 23
545 #define BSIM4v7cqcheq BSIM4v7states+ 24
546 #define BSIM4v7qcdump BSIM4v7states+ 25
547 #define BSIM4v7cqcdump BSIM4v7states+ 26
548 #define BSIM4v7qdef BSIM4v7states+ 27
549 #define BSIM4v7qs BSIM4v7states+ 28
550 
551 #define BSIM4v7numStates 29
552 
553 
554 /* indices to the array of BSIM4v7 NOISE SOURCES */
555 
556 #define BSIM4v7RDNOIZ       0
557 #define BSIM4v7RSNOIZ       1
558 #define BSIM4v7RGNOIZ       2
559 #define BSIM4v7RBPSNOIZ     3
560 #define BSIM4v7RBPDNOIZ     4
561 #define BSIM4v7RBPBNOIZ     5
562 #define BSIM4v7RBSBNOIZ     6
563 #define BSIM4v7RBDBNOIZ     7
564 #define BSIM4v7IDNOIZ       8
565 #define BSIM4v7FLNOIZ       9
566 #define BSIM4v7IGSNOIZ      10
567 #define BSIM4v7IGDNOIZ      11
568 #define BSIM4v7IGBNOIZ      12
569 #define BSIM4v7CORLNOIZ     13
570 #define BSIM4v7TOTNOIZ      14
571 
572 #define BSIM4v7NSRCS        15  /* Number of BSIM4v7 noise sources */
573 
574 #ifndef NONOISE
575     double BSIM4v7nVar[NSTATVARS][BSIM4v7NSRCS];
576 #else /* NONOISE */
577         double **BSIM4v7nVar;
578 #endif /* NONOISE */
579 
580 } BSIM4v7instance ;
581 
582 struct bsim4SizeDependParam
583 {
584     double Width;
585     double Length;
586     double NFinger;
587 
588     double BSIM4v7cdsc;
589     double BSIM4v7cdscb;
590     double BSIM4v7cdscd;
591     double BSIM4v7cit;
592     double BSIM4v7nfactor;
593     double BSIM4v7xj;
594     double BSIM4v7vsat;
595     double BSIM4v7at;
596     double BSIM4v7a0;
597     double BSIM4v7ags;
598     double BSIM4v7a1;
599     double BSIM4v7a2;
600     double BSIM4v7keta;
601     double BSIM4v7nsub;
602     double BSIM4v7ndep;
603     double BSIM4v7nsd;
604     double BSIM4v7phin;
605     double BSIM4v7ngate;
606     double BSIM4v7gamma1;
607     double BSIM4v7gamma2;
608     double BSIM4v7vbx;
609     double BSIM4v7vbi;
610     double BSIM4v7vbm;
611     double BSIM4v7xt;
612     double BSIM4v7phi;
613     double BSIM4v7litl;
614     double BSIM4v7k1;
615     double BSIM4v7kt1;
616     double BSIM4v7kt1l;
617     double BSIM4v7kt2;
618     double BSIM4v7k2;
619     double BSIM4v7k3;
620     double BSIM4v7k3b;
621     double BSIM4v7w0;
622     double BSIM4v7dvtp0;
623     double BSIM4v7dvtp1;
624     double BSIM4v7dvtp2;	/* New DIBL/Rout */
625     double BSIM4v7dvtp3;
626     double BSIM4v7dvtp4;
627     double BSIM4v7dvtp5;
628     double BSIM4v7lpe0;
629     double BSIM4v7lpeb;
630     double BSIM4v7dvt0;
631     double BSIM4v7dvt1;
632     double BSIM4v7dvt2;
633     double BSIM4v7dvt0w;
634     double BSIM4v7dvt1w;
635     double BSIM4v7dvt2w;
636     double BSIM4v7drout;
637     double BSIM4v7dsub;
638     double BSIM4v7vth0;
639     double BSIM4v7ua;
640     double BSIM4v7ua1;
641     double BSIM4v7ub;
642     double BSIM4v7ub1;
643     double BSIM4v7uc;
644     double BSIM4v7uc1;
645     double BSIM4v7ud;
646     double BSIM4v7ud1;
647     double BSIM4v7up;
648     double BSIM4v7lp;
649     double BSIM4v7u0;
650     double BSIM4v7eu;
651   	double BSIM4v7ucs;
652     double BSIM4v7ute;
653 	  double BSIM4v7ucste;
654     double BSIM4v7voff;
655     double BSIM4v7tvoff;
656     double BSIM4v7tnfactor; 	/* v4.7 Temp dep of leakage current */
657     double BSIM4v7teta0;   	/* v4.7 temp dep of leakage current */
658     double BSIM4v7tvoffcv;	/* v4.7 temp dep of leakage current */
659     double BSIM4v7minv;
660     double BSIM4v7minvcv;
661     double BSIM4v7vfb;
662     double BSIM4v7delta;
663     double BSIM4v7rdsw;
664     double BSIM4v7rds0;
665     double BSIM4v7rs0;
666     double BSIM4v7rd0;
667     double BSIM4v7rsw;
668     double BSIM4v7rdw;
669     double BSIM4v7prwg;
670     double BSIM4v7prwb;
671     double BSIM4v7prt;
672     double BSIM4v7eta0;
673     double BSIM4v7etab;
674     double BSIM4v7pclm;
675     double BSIM4v7pdibl1;
676     double BSIM4v7pdibl2;
677     double BSIM4v7pdiblb;
678     double BSIM4v7fprout;
679     double BSIM4v7pdits;
680     double BSIM4v7pditsd;
681     double BSIM4v7pscbe1;
682     double BSIM4v7pscbe2;
683     double BSIM4v7pvag;
684     double BSIM4v7wr;
685     double BSIM4v7dwg;
686     double BSIM4v7dwb;
687     double BSIM4v7b0;
688     double BSIM4v7b1;
689     double BSIM4v7alpha0;
690     double BSIM4v7alpha1;
691     double BSIM4v7beta0;
692     double BSIM4v7agidl;
693     double BSIM4v7bgidl;
694     double BSIM4v7cgidl;
695     double BSIM4v7egidl;
696     double BSIM4v7fgidl; /* v4.7 New GIDL/GISL */
697     double BSIM4v7kgidl; /* v4.7 New GIDL/GISL */
698     double BSIM4v7rgidl; /* v4.7 New GIDL/GISL */
699     double BSIM4v7agisl;
700     double BSIM4v7bgisl;
701     double BSIM4v7cgisl;
702     double BSIM4v7egisl;
703     double BSIM4v7fgisl; /* v4.7 New GIDL/GISL */
704     double BSIM4v7kgisl; /* v4.7 New GIDL/GISL */
705     double BSIM4v7rgisl; /* v4.7 New GIDL/GISL */
706     double BSIM4v7aigc;
707     double BSIM4v7bigc;
708     double BSIM4v7cigc;
709     double BSIM4v7aigs;
710     double BSIM4v7bigs;
711     double BSIM4v7cigs;
712     double BSIM4v7aigd;
713     double BSIM4v7bigd;
714     double BSIM4v7cigd;
715     double BSIM4v7aigbacc;
716     double BSIM4v7bigbacc;
717     double BSIM4v7cigbacc;
718     double BSIM4v7aigbinv;
719     double BSIM4v7bigbinv;
720     double BSIM4v7cigbinv;
721     double BSIM4v7nigc;
722     double BSIM4v7nigbacc;
723     double BSIM4v7nigbinv;
724     double BSIM4v7ntox;
725     double BSIM4v7eigbinv;
726     double BSIM4v7pigcd;
727     double BSIM4v7poxedge;
728     double BSIM4v7xrcrg1;
729     double BSIM4v7xrcrg2;
730     double BSIM4v7lambda; /* overshoot */
731     double BSIM4v7vtl; /* thermal velocity limit */
732     double BSIM4v7xn; /* back scattering parameter */
733     double BSIM4v7lc; /* back scattering parameter */
734     double BSIM4v7tfactor;  /* ballistic transportation factor  */
735     double BSIM4v7vfbsdoff;  /* S/D flatband offset voltage  */
736     double BSIM4v7tvfbsdoff;
737 
738 /* added for stress effect */
739     double BSIM4v7ku0;
740     double BSIM4v7kvth0;
741     double BSIM4v7ku0temp;
742     double BSIM4v7rho_ref;
743     double BSIM4v7inv_od_ref;
744 /* added for well proximity effect */
745     double BSIM4v7kvth0we;
746     double BSIM4v7k2we;
747     double BSIM4v7ku0we;
748 
749     /* CV model */
750     double BSIM4v7cgsl;
751     double BSIM4v7cgdl;
752     double BSIM4v7ckappas;
753     double BSIM4v7ckappad;
754     double BSIM4v7cf;
755     double BSIM4v7clc;
756     double BSIM4v7cle;
757     double BSIM4v7vfbcv;
758     double BSIM4v7noff;
759     double BSIM4v7voffcv;
760     double BSIM4v7acde;
761     double BSIM4v7moin;
762 
763 /* Pre-calculated constants */
764 
765     double BSIM4v7dw;
766     double BSIM4v7dl;
767     double BSIM4v7leff;
768     double BSIM4v7weff;
769 
770     double BSIM4v7dwc;
771     double BSIM4v7dlc;
772     double BSIM4v7dwj;
773     double BSIM4v7leffCV;
774     double BSIM4v7weffCV;
775     double BSIM4v7weffCJ;
776     double BSIM4v7abulkCVfactor;
777     double BSIM4v7cgso;
778     double BSIM4v7cgdo;
779     double BSIM4v7cgbo;
780 
781     double BSIM4v7u0temp;
782     double BSIM4v7vsattemp;
783     double BSIM4v7sqrtPhi;
784     double BSIM4v7phis3;
785     double BSIM4v7Xdep0;
786     double BSIM4v7sqrtXdep0;
787     double BSIM4v7theta0vb0;
788     double BSIM4v7thetaRout;
789     double BSIM4v7mstar;
790 	  double BSIM4v7VgsteffVth;
791     double BSIM4v7mstarcv;
792     double BSIM4v7voffcbn;
793     double BSIM4v7voffcbncv;
794     double BSIM4v7rdswmin;
795     double BSIM4v7rdwmin;
796     double BSIM4v7rswmin;
797     double BSIM4v7vfbsd;
798 
799     double BSIM4v7cof1;
800     double BSIM4v7cof2;
801     double BSIM4v7cof3;
802     double BSIM4v7cof4;
803     double BSIM4v7cdep0;
804     double BSIM4v7ToxRatio;
805     double BSIM4v7Aechvb;
806     double BSIM4v7Bechvb;
807     double BSIM4v7ToxRatioEdge;
808     double BSIM4v7AechvbEdgeS;
809     double BSIM4v7AechvbEdgeD;
810     double BSIM4v7BechvbEdge;
811     double BSIM4v7ldeb;
812     double BSIM4v7k1ox;
813     double BSIM4v7k2ox;
814     double BSIM4v7vfbzbfactor;
815     double BSIM4v7dvtp2factor; /* v4.7 */
816     struct bsim4SizeDependParam  *pNext;
817 };
818 
819 
820 typedef struct sBSIM4v7model
821 {
822 
823     struct GENmodel gen;
824 
825 #define BSIM4v7modType gen.GENmodType
826 #define BSIM4v7nextModel(inst) ((struct sBSIM4v7model *)((inst)->gen.GENnextModel))
827 #define BSIM4v7instances(inst) ((BSIM4v7instance *)((inst)->gen.GENinstances))
828 #define BSIM4v7modName gen.GENmodName
829 
830     int BSIM4v7type;
831 
832     int    BSIM4v7mobMod;
833     int    BSIM4v7cvchargeMod;
834     int    BSIM4v7capMod;
835     int    BSIM4v7dioMod;
836     int    BSIM4v7trnqsMod;
837     int    BSIM4v7acnqsMod;
838     int    BSIM4v7fnoiMod;
839     int    BSIM4v7tnoiMod;
840     int    BSIM4v7rdsMod;
841     int    BSIM4v7rbodyMod;
842     int    BSIM4v7rgateMod;
843     int    BSIM4v7perMod;
844     int    BSIM4v7geoMod;
845     int    BSIM4v7rgeoMod;
846     int    BSIM4v7mtrlMod;
847     int    BSIM4v7mtrlCompatMod; /* v4.7 */
848     int    BSIM4v7gidlMod; /* v4.7 New GIDL/GISL */
849     int    BSIM4v7igcMod;
850     int    BSIM4v7igbMod;
851     int    BSIM4v7tempMod;
852     int    BSIM4v7binUnit;
853     int    BSIM4v7paramChk;
854     char   *BSIM4v7version;
855     double BSIM4v7eot;
856     double BSIM4v7vddeot;
857   	double BSIM4v7tempeot;
858   	double BSIM4v7leffeot;
859   	double BSIM4v7weffeot;
860     double BSIM4v7ados;
861     double BSIM4v7bdos;
862     double BSIM4v7toxe;
863     double BSIM4v7toxp;
864     double BSIM4v7toxm;
865     double BSIM4v7dtox;
866     double BSIM4v7epsrox;
867     double BSIM4v7cdsc;
868     double BSIM4v7cdscb;
869     double BSIM4v7cdscd;
870     double BSIM4v7cit;
871     double BSIM4v7nfactor;
872     double BSIM4v7xj;
873     double BSIM4v7vsat;
874     double BSIM4v7at;
875     double BSIM4v7a0;
876     double BSIM4v7ags;
877     double BSIM4v7a1;
878     double BSIM4v7a2;
879     double BSIM4v7keta;
880     double BSIM4v7nsub;
881     double BSIM4v7phig;
882     double BSIM4v7epsrgate;
883     double BSIM4v7easub;
884     double BSIM4v7epsrsub;
885     double BSIM4v7ni0sub;
886     double BSIM4v7bg0sub;
887     double BSIM4v7tbgasub;
888     double BSIM4v7tbgbsub;
889     double BSIM4v7ndep;
890     double BSIM4v7nsd;
891     double BSIM4v7phin;
892     double BSIM4v7ngate;
893     double BSIM4v7gamma1;
894     double BSIM4v7gamma2;
895     double BSIM4v7vbx;
896     double BSIM4v7vbm;
897     double BSIM4v7xt;
898     double BSIM4v7k1;
899     double BSIM4v7kt1;
900     double BSIM4v7kt1l;
901     double BSIM4v7kt2;
902     double BSIM4v7k2;
903     double BSIM4v7k3;
904     double BSIM4v7k3b;
905     double BSIM4v7w0;
906     double BSIM4v7dvtp0;
907     double BSIM4v7dvtp1;
908     double BSIM4v7dvtp2;	/* New DIBL/Rout */
909     double BSIM4v7dvtp3;
910     double BSIM4v7dvtp4;
911     double BSIM4v7dvtp5;
912     double BSIM4v7lpe0;
913     double BSIM4v7lpeb;
914     double BSIM4v7dvt0;
915     double BSIM4v7dvt1;
916     double BSIM4v7dvt2;
917     double BSIM4v7dvt0w;
918     double BSIM4v7dvt1w;
919     double BSIM4v7dvt2w;
920     double BSIM4v7drout;
921     double BSIM4v7dsub;
922     double BSIM4v7vth0;
923     double BSIM4v7eu;
924   	double BSIM4v7ucs;
925     double BSIM4v7ua;
926     double BSIM4v7ua1;
927     double BSIM4v7ub;
928     double BSIM4v7ub1;
929     double BSIM4v7uc;
930     double BSIM4v7uc1;
931     double BSIM4v7ud;
932     double BSIM4v7ud1;
933     double BSIM4v7up;
934     double BSIM4v7lp;
935     double BSIM4v7u0;
936     double BSIM4v7ute;
937   	double BSIM4v7ucste;
938     double BSIM4v7voff;
939     double BSIM4v7tvoff;
940     double BSIM4v7tnfactor; 	/* v4.7 Temp dep of leakage current */
941     double BSIM4v7teta0;   	/* v4.7 temp dep of leakage current */
942     double BSIM4v7tvoffcv;	/* v4.7 temp dep of leakage current */
943     double BSIM4v7minv;
944     double BSIM4v7minvcv;
945     double BSIM4v7voffl;
946     double BSIM4v7voffcvl;
947     double BSIM4v7delta;
948     double BSIM4v7rdsw;
949     double BSIM4v7rdswmin;
950     double BSIM4v7rdwmin;
951     double BSIM4v7rswmin;
952     double BSIM4v7rsw;
953     double BSIM4v7rdw;
954     double BSIM4v7prwg;
955     double BSIM4v7prwb;
956     double BSIM4v7prt;
957     double BSIM4v7eta0;
958     double BSIM4v7etab;
959     double BSIM4v7pclm;
960     double BSIM4v7pdibl1;
961     double BSIM4v7pdibl2;
962     double BSIM4v7pdiblb;
963     double BSIM4v7fprout;
964     double BSIM4v7pdits;
965     double BSIM4v7pditsd;
966     double BSIM4v7pditsl;
967     double BSIM4v7pscbe1;
968     double BSIM4v7pscbe2;
969     double BSIM4v7pvag;
970     double BSIM4v7wr;
971     double BSIM4v7dwg;
972     double BSIM4v7dwb;
973     double BSIM4v7b0;
974     double BSIM4v7b1;
975     double BSIM4v7alpha0;
976     double BSIM4v7alpha1;
977     double BSIM4v7beta0;
978     double BSIM4v7agidl;
979     double BSIM4v7bgidl;
980     double BSIM4v7cgidl;
981     double BSIM4v7egidl;
982     double BSIM4v7fgidl; /* v4.7 New GIDL/GISL */
983     double BSIM4v7kgidl; /* v4.7 New GIDL/GISL */
984     double BSIM4v7rgidl; /* v4.7 New GIDL/GISL */
985     double BSIM4v7agisl;
986     double BSIM4v7bgisl;
987     double BSIM4v7cgisl;
988     double BSIM4v7egisl;
989     double BSIM4v7fgisl; /* v4.7 New GIDL/GISL */
990     double BSIM4v7kgisl; /* v4.7 New GIDL/GISL */
991     double BSIM4v7rgisl; /* v4.7 New GIDL/GISL */
992     double BSIM4v7aigc;
993     double BSIM4v7bigc;
994     double BSIM4v7cigc;
995     double BSIM4v7aigsd;
996     double BSIM4v7bigsd;
997     double BSIM4v7cigsd;
998     double BSIM4v7aigs;
999     double BSIM4v7bigs;
1000     double BSIM4v7cigs;
1001     double BSIM4v7aigd;
1002     double BSIM4v7bigd;
1003     double BSIM4v7cigd;
1004     double BSIM4v7aigbacc;
1005     double BSIM4v7bigbacc;
1006     double BSIM4v7cigbacc;
1007     double BSIM4v7aigbinv;
1008     double BSIM4v7bigbinv;
1009     double BSIM4v7cigbinv;
1010     double BSIM4v7nigc;
1011     double BSIM4v7nigbacc;
1012     double BSIM4v7nigbinv;
1013     double BSIM4v7ntox;
1014     double BSIM4v7eigbinv;
1015     double BSIM4v7pigcd;
1016     double BSIM4v7poxedge;
1017     double BSIM4v7toxref;
1018     double BSIM4v7ijthdfwd;
1019     double BSIM4v7ijthsfwd;
1020     double BSIM4v7ijthdrev;
1021     double BSIM4v7ijthsrev;
1022     double BSIM4v7xjbvd;
1023     double BSIM4v7xjbvs;
1024     double BSIM4v7bvd;
1025     double BSIM4v7bvs;
1026 
1027     double BSIM4v7jtss;
1028     double BSIM4v7jtsd;
1029     double BSIM4v7jtssws;
1030     double BSIM4v7jtsswd;
1031     double BSIM4v7jtsswgs;
1032     double BSIM4v7jtsswgd;
1033     double BSIM4v7jtweff;
1034     double BSIM4v7njts;
1035     double BSIM4v7njtssw;
1036     double BSIM4v7njtsswg;
1037     double BSIM4v7njtsd;
1038     double BSIM4v7njtsswd;
1039     double BSIM4v7njtsswgd;
1040     double BSIM4v7xtss;
1041     double BSIM4v7xtsd;
1042     double BSIM4v7xtssws;
1043     double BSIM4v7xtsswd;
1044     double BSIM4v7xtsswgs;
1045     double BSIM4v7xtsswgd;
1046     double BSIM4v7tnjts;
1047     double BSIM4v7tnjtssw;
1048     double BSIM4v7tnjtsswg;
1049     double BSIM4v7tnjtsd;
1050     double BSIM4v7tnjtsswd;
1051     double BSIM4v7tnjtsswgd;
1052     double BSIM4v7vtss;
1053     double BSIM4v7vtsd;
1054     double BSIM4v7vtssws;
1055     double BSIM4v7vtsswd;
1056     double BSIM4v7vtsswgs;
1057     double BSIM4v7vtsswgd;
1058 
1059     double BSIM4v7xrcrg1;
1060     double BSIM4v7xrcrg2;
1061     double BSIM4v7lambda;
1062     double BSIM4v7vtl;
1063     double BSIM4v7lc;
1064     double BSIM4v7xn;
1065     double BSIM4v7vfbsdoff;  /* S/D flatband offset voltage  */
1066     double BSIM4v7lintnoi;  /* lint offset for noise calculation  */
1067     double BSIM4v7tvfbsdoff;
1068 
1069     double BSIM4v7vfb;
1070     double BSIM4v7gbmin;
1071     double BSIM4v7rbdb;
1072     double BSIM4v7rbsb;
1073     double BSIM4v7rbpb;
1074     double BSIM4v7rbps;
1075     double BSIM4v7rbpd;
1076 
1077     double BSIM4v7rbps0;
1078     double BSIM4v7rbpsl;
1079     double BSIM4v7rbpsw;
1080     double BSIM4v7rbpsnf;
1081 
1082     double BSIM4v7rbpd0;
1083     double BSIM4v7rbpdl;
1084     double BSIM4v7rbpdw;
1085     double BSIM4v7rbpdnf;
1086 
1087     double BSIM4v7rbpbx0;
1088     double BSIM4v7rbpbxl;
1089     double BSIM4v7rbpbxw;
1090     double BSIM4v7rbpbxnf;
1091     double BSIM4v7rbpby0;
1092     double BSIM4v7rbpbyl;
1093     double BSIM4v7rbpbyw;
1094     double BSIM4v7rbpbynf;
1095 
1096     double BSIM4v7rbsbx0;
1097     double BSIM4v7rbsby0;
1098     double BSIM4v7rbdbx0;
1099     double BSIM4v7rbdby0;
1100 
1101     double BSIM4v7rbsdbxl;
1102     double BSIM4v7rbsdbxw;
1103     double BSIM4v7rbsdbxnf;
1104     double BSIM4v7rbsdbyl;
1105     double BSIM4v7rbsdbyw;
1106     double BSIM4v7rbsdbynf;
1107 
1108     double BSIM4v7tnoia;
1109     double BSIM4v7tnoib;
1110     double BSIM4v7tnoic;
1111     double BSIM4v7rnoia;
1112     double BSIM4v7rnoib;
1113     double BSIM4v7rnoic;
1114     double BSIM4v7ntnoi;
1115 
1116     /* CV model and Parasitics */
1117     double BSIM4v7cgsl;
1118     double BSIM4v7cgdl;
1119     double BSIM4v7ckappas;
1120     double BSIM4v7ckappad;
1121     double BSIM4v7cf;
1122     double BSIM4v7vfbcv;
1123     double BSIM4v7clc;
1124     double BSIM4v7cle;
1125     double BSIM4v7dwc;
1126     double BSIM4v7dlc;
1127     double BSIM4v7xw;
1128     double BSIM4v7xl;
1129     double BSIM4v7dlcig;
1130     double BSIM4v7dlcigd;
1131     double BSIM4v7dwj;
1132     double BSIM4v7noff;
1133     double BSIM4v7voffcv;
1134     double BSIM4v7acde;
1135     double BSIM4v7moin;
1136     double BSIM4v7tcj;
1137     double BSIM4v7tcjsw;
1138     double BSIM4v7tcjswg;
1139     double BSIM4v7tpb;
1140     double BSIM4v7tpbsw;
1141     double BSIM4v7tpbswg;
1142     double BSIM4v7dmcg;
1143     double BSIM4v7dmci;
1144     double BSIM4v7dmdg;
1145     double BSIM4v7dmcgt;
1146     double BSIM4v7xgw;
1147     double BSIM4v7xgl;
1148     double BSIM4v7rshg;
1149     double BSIM4v7ngcon;
1150 
1151     /* Length Dependence */
1152     double BSIM4v7lcdsc;
1153     double BSIM4v7lcdscb;
1154     double BSIM4v7lcdscd;
1155     double BSIM4v7lcit;
1156     double BSIM4v7lnfactor;
1157     double BSIM4v7lxj;
1158     double BSIM4v7lvsat;
1159     double BSIM4v7lat;
1160     double BSIM4v7la0;
1161     double BSIM4v7lags;
1162     double BSIM4v7la1;
1163     double BSIM4v7la2;
1164     double BSIM4v7lketa;
1165     double BSIM4v7lnsub;
1166     double BSIM4v7lndep;
1167     double BSIM4v7lnsd;
1168     double BSIM4v7lphin;
1169     double BSIM4v7lngate;
1170     double BSIM4v7lgamma1;
1171     double BSIM4v7lgamma2;
1172     double BSIM4v7lvbx;
1173     double BSIM4v7lvbm;
1174     double BSIM4v7lxt;
1175     double BSIM4v7lk1;
1176     double BSIM4v7lkt1;
1177     double BSIM4v7lkt1l;
1178     double BSIM4v7lkt2;
1179     double BSIM4v7lk2;
1180     double BSIM4v7lk3;
1181     double BSIM4v7lk3b;
1182     double BSIM4v7lw0;
1183     double BSIM4v7ldvtp0;
1184     double BSIM4v7ldvtp1;
1185     double BSIM4v7ldvtp2;        /* New DIBL/Rout */
1186     double BSIM4v7ldvtp3;
1187     double BSIM4v7ldvtp4;
1188     double BSIM4v7ldvtp5;
1189     double BSIM4v7llpe0;
1190     double BSIM4v7llpeb;
1191     double BSIM4v7ldvt0;
1192     double BSIM4v7ldvt1;
1193     double BSIM4v7ldvt2;
1194     double BSIM4v7ldvt0w;
1195     double BSIM4v7ldvt1w;
1196     double BSIM4v7ldvt2w;
1197     double BSIM4v7ldrout;
1198     double BSIM4v7ldsub;
1199     double BSIM4v7lvth0;
1200     double BSIM4v7lua;
1201     double BSIM4v7lua1;
1202     double BSIM4v7lub;
1203     double BSIM4v7lub1;
1204     double BSIM4v7luc;
1205     double BSIM4v7luc1;
1206     double BSIM4v7lud;
1207     double BSIM4v7lud1;
1208     double BSIM4v7lup;
1209     double BSIM4v7llp;
1210     double BSIM4v7lu0;
1211     double BSIM4v7leu;
1212     double BSIM4v7lucs;
1213     double BSIM4v7lute;
1214     double BSIM4v7lucste;
1215     double BSIM4v7lvoff;
1216     double BSIM4v7ltvoff;
1217     double BSIM4v7ltnfactor;         /* v4.7 Temp dep of leakage current */
1218     double BSIM4v7lteta0;           /* v4.7 temp dep of leakage current */
1219     double BSIM4v7ltvoffcv;        /* v4.7 temp dep of leakage current */
1220     double BSIM4v7lminv;
1221     double BSIM4v7lminvcv;
1222     double BSIM4v7ldelta;
1223     double BSIM4v7lrdsw;
1224     double BSIM4v7lrsw;
1225     double BSIM4v7lrdw;
1226     double BSIM4v7lprwg;
1227     double BSIM4v7lprwb;
1228     double BSIM4v7lprt;
1229     double BSIM4v7leta0;
1230     double BSIM4v7letab;
1231     double BSIM4v7lpclm;
1232     double BSIM4v7lpdibl1;
1233     double BSIM4v7lpdibl2;
1234     double BSIM4v7lpdiblb;
1235     double BSIM4v7lfprout;
1236     double BSIM4v7lpdits;
1237     double BSIM4v7lpditsd;
1238     double BSIM4v7lpscbe1;
1239     double BSIM4v7lpscbe2;
1240     double BSIM4v7lpvag;
1241     double BSIM4v7lwr;
1242     double BSIM4v7ldwg;
1243     double BSIM4v7ldwb;
1244     double BSIM4v7lb0;
1245     double BSIM4v7lb1;
1246     double BSIM4v7lalpha0;
1247     double BSIM4v7lalpha1;
1248     double BSIM4v7lbeta0;
1249     double BSIM4v7lvfb;
1250     double BSIM4v7lagidl;
1251     double BSIM4v7lbgidl;
1252     double BSIM4v7lcgidl;
1253     double BSIM4v7legidl;
1254     double BSIM4v7lfgidl; /* v4.7 New GIDL/GISL */
1255     double BSIM4v7lkgidl; /* v4.7 New GIDL/GISL */
1256     double BSIM4v7lrgidl; /* v4.7 New GIDL/GISL */
1257     double BSIM4v7lagisl;
1258     double BSIM4v7lbgisl;
1259     double BSIM4v7lcgisl;
1260     double BSIM4v7legisl;
1261     double BSIM4v7lfgisl; /* v4.7 New GIDL/GISL */
1262     double BSIM4v7lkgisl; /* v4.7 New GIDL/GISL */
1263     double BSIM4v7lrgisl; /* v4.7 New GIDL/GISL */
1264     double BSIM4v7laigc;
1265     double BSIM4v7lbigc;
1266     double BSIM4v7lcigc;
1267     double BSIM4v7laigsd;
1268     double BSIM4v7lbigsd;
1269     double BSIM4v7lcigsd;
1270     double BSIM4v7laigs;
1271     double BSIM4v7lbigs;
1272     double BSIM4v7lcigs;
1273     double BSIM4v7laigd;
1274     double BSIM4v7lbigd;
1275     double BSIM4v7lcigd;
1276     double BSIM4v7laigbacc;
1277     double BSIM4v7lbigbacc;
1278     double BSIM4v7lcigbacc;
1279     double BSIM4v7laigbinv;
1280     double BSIM4v7lbigbinv;
1281     double BSIM4v7lcigbinv;
1282     double BSIM4v7lnigc;
1283     double BSIM4v7lnigbacc;
1284     double BSIM4v7lnigbinv;
1285     double BSIM4v7lntox;
1286     double BSIM4v7leigbinv;
1287     double BSIM4v7lpigcd;
1288     double BSIM4v7lpoxedge;
1289     double BSIM4v7lxrcrg1;
1290     double BSIM4v7lxrcrg2;
1291     double BSIM4v7llambda;
1292     double BSIM4v7lvtl;
1293     double BSIM4v7lxn;
1294     double BSIM4v7lvfbsdoff;
1295     double BSIM4v7ltvfbsdoff;
1296 
1297     /* CV model */
1298     double BSIM4v7lcgsl;
1299     double BSIM4v7lcgdl;
1300     double BSIM4v7lckappas;
1301     double BSIM4v7lckappad;
1302     double BSIM4v7lcf;
1303     double BSIM4v7lclc;
1304     double BSIM4v7lcle;
1305     double BSIM4v7lvfbcv;
1306     double BSIM4v7lnoff;
1307     double BSIM4v7lvoffcv;
1308     double BSIM4v7lacde;
1309     double BSIM4v7lmoin;
1310 
1311     /* Width Dependence */
1312     double BSIM4v7wcdsc;
1313     double BSIM4v7wcdscb;
1314     double BSIM4v7wcdscd;
1315     double BSIM4v7wcit;
1316     double BSIM4v7wnfactor;
1317     double BSIM4v7wxj;
1318     double BSIM4v7wvsat;
1319     double BSIM4v7wat;
1320     double BSIM4v7wa0;
1321     double BSIM4v7wags;
1322     double BSIM4v7wa1;
1323     double BSIM4v7wa2;
1324     double BSIM4v7wketa;
1325     double BSIM4v7wnsub;
1326     double BSIM4v7wndep;
1327     double BSIM4v7wnsd;
1328     double BSIM4v7wphin;
1329     double BSIM4v7wngate;
1330     double BSIM4v7wgamma1;
1331     double BSIM4v7wgamma2;
1332     double BSIM4v7wvbx;
1333     double BSIM4v7wvbm;
1334     double BSIM4v7wxt;
1335     double BSIM4v7wk1;
1336     double BSIM4v7wkt1;
1337     double BSIM4v7wkt1l;
1338     double BSIM4v7wkt2;
1339     double BSIM4v7wk2;
1340     double BSIM4v7wk3;
1341     double BSIM4v7wk3b;
1342     double BSIM4v7ww0;
1343     double BSIM4v7wdvtp0;
1344     double BSIM4v7wdvtp1;
1345     double BSIM4v7wdvtp2;        /* New DIBL/Rout */
1346     double BSIM4v7wdvtp3;
1347     double BSIM4v7wdvtp4;
1348     double BSIM4v7wdvtp5;
1349     double BSIM4v7wlpe0;
1350     double BSIM4v7wlpeb;
1351     double BSIM4v7wdvt0;
1352     double BSIM4v7wdvt1;
1353     double BSIM4v7wdvt2;
1354     double BSIM4v7wdvt0w;
1355     double BSIM4v7wdvt1w;
1356     double BSIM4v7wdvt2w;
1357     double BSIM4v7wdrout;
1358     double BSIM4v7wdsub;
1359     double BSIM4v7wvth0;
1360     double BSIM4v7wua;
1361     double BSIM4v7wua1;
1362     double BSIM4v7wub;
1363     double BSIM4v7wub1;
1364     double BSIM4v7wuc;
1365     double BSIM4v7wuc1;
1366     double BSIM4v7wud;
1367     double BSIM4v7wud1;
1368     double BSIM4v7wup;
1369     double BSIM4v7wlp;
1370     double BSIM4v7wu0;
1371     double BSIM4v7weu;
1372     double BSIM4v7wucs;
1373     double BSIM4v7wute;
1374     double BSIM4v7wucste;
1375     double BSIM4v7wvoff;
1376     double BSIM4v7wtvoff;
1377     double BSIM4v7wtnfactor;         /* v4.7 Temp dep of leakage current */
1378     double BSIM4v7wteta0;           /* v4.7 temp dep of leakage current */
1379     double BSIM4v7wtvoffcv;        /* v4.7 temp dep of leakage current */
1380     double BSIM4v7wminv;
1381     double BSIM4v7wminvcv;
1382     double BSIM4v7wdelta;
1383     double BSIM4v7wrdsw;
1384     double BSIM4v7wrsw;
1385     double BSIM4v7wrdw;
1386     double BSIM4v7wprwg;
1387     double BSIM4v7wprwb;
1388     double BSIM4v7wprt;
1389     double BSIM4v7weta0;
1390     double BSIM4v7wetab;
1391     double BSIM4v7wpclm;
1392     double BSIM4v7wpdibl1;
1393     double BSIM4v7wpdibl2;
1394     double BSIM4v7wpdiblb;
1395     double BSIM4v7wfprout;
1396     double BSIM4v7wpdits;
1397     double BSIM4v7wpditsd;
1398     double BSIM4v7wpscbe1;
1399     double BSIM4v7wpscbe2;
1400     double BSIM4v7wpvag;
1401     double BSIM4v7wwr;
1402     double BSIM4v7wdwg;
1403     double BSIM4v7wdwb;
1404     double BSIM4v7wb0;
1405     double BSIM4v7wb1;
1406     double BSIM4v7walpha0;
1407     double BSIM4v7walpha1;
1408     double BSIM4v7wbeta0;
1409     double BSIM4v7wvfb;
1410     double BSIM4v7wagidl;
1411     double BSIM4v7wbgidl;
1412     double BSIM4v7wcgidl;
1413     double BSIM4v7wegidl;
1414     double BSIM4v7wfgidl; /* v4.7 New GIDL/GISL */
1415     double BSIM4v7wkgidl; /* v4.7 New GIDL/GISL */
1416     double BSIM4v7wrgidl; /* v4.7 New GIDL/GISL */
1417     double BSIM4v7wagisl;
1418     double BSIM4v7wbgisl;
1419     double BSIM4v7wcgisl;
1420     double BSIM4v7wegisl;
1421     double BSIM4v7wfgisl; /* v4.7 New GIDL/GISL */
1422     double BSIM4v7wkgisl; /* v4.7 New GIDL/GISL */
1423     double BSIM4v7wrgisl; /* v4.7 New GIDL/GISL */
1424     double BSIM4v7waigc;
1425     double BSIM4v7wbigc;
1426     double BSIM4v7wcigc;
1427     double BSIM4v7waigsd;
1428     double BSIM4v7wbigsd;
1429     double BSIM4v7wcigsd;
1430     double BSIM4v7waigs;
1431     double BSIM4v7wbigs;
1432     double BSIM4v7wcigs;
1433     double BSIM4v7waigd;
1434     double BSIM4v7wbigd;
1435     double BSIM4v7wcigd;
1436     double BSIM4v7waigbacc;
1437     double BSIM4v7wbigbacc;
1438     double BSIM4v7wcigbacc;
1439     double BSIM4v7waigbinv;
1440     double BSIM4v7wbigbinv;
1441     double BSIM4v7wcigbinv;
1442     double BSIM4v7wnigc;
1443     double BSIM4v7wnigbacc;
1444     double BSIM4v7wnigbinv;
1445     double BSIM4v7wntox;
1446     double BSIM4v7weigbinv;
1447     double BSIM4v7wpigcd;
1448     double BSIM4v7wpoxedge;
1449     double BSIM4v7wxrcrg1;
1450     double BSIM4v7wxrcrg2;
1451     double BSIM4v7wlambda;
1452     double BSIM4v7wvtl;
1453     double BSIM4v7wxn;
1454     double BSIM4v7wvfbsdoff;
1455     double BSIM4v7wtvfbsdoff;
1456 
1457     /* CV model */
1458     double BSIM4v7wcgsl;
1459     double BSIM4v7wcgdl;
1460     double BSIM4v7wckappas;
1461     double BSIM4v7wckappad;
1462     double BSIM4v7wcf;
1463     double BSIM4v7wclc;
1464     double BSIM4v7wcle;
1465     double BSIM4v7wvfbcv;
1466     double BSIM4v7wnoff;
1467     double BSIM4v7wvoffcv;
1468     double BSIM4v7wacde;
1469     double BSIM4v7wmoin;
1470 
1471     /* Cross-term Dependence */
1472     double BSIM4v7pcdsc;
1473     double BSIM4v7pcdscb;
1474     double BSIM4v7pcdscd;
1475     double BSIM4v7pcit;
1476     double BSIM4v7pnfactor;
1477     double BSIM4v7pxj;
1478     double BSIM4v7pvsat;
1479     double BSIM4v7pat;
1480     double BSIM4v7pa0;
1481     double BSIM4v7pags;
1482     double BSIM4v7pa1;
1483     double BSIM4v7pa2;
1484     double BSIM4v7pketa;
1485     double BSIM4v7pnsub;
1486     double BSIM4v7pndep;
1487     double BSIM4v7pnsd;
1488     double BSIM4v7pphin;
1489     double BSIM4v7pngate;
1490     double BSIM4v7pgamma1;
1491     double BSIM4v7pgamma2;
1492     double BSIM4v7pvbx;
1493     double BSIM4v7pvbm;
1494     double BSIM4v7pxt;
1495     double BSIM4v7pk1;
1496     double BSIM4v7pkt1;
1497     double BSIM4v7pkt1l;
1498     double BSIM4v7pkt2;
1499     double BSIM4v7pk2;
1500     double BSIM4v7pk3;
1501     double BSIM4v7pk3b;
1502     double BSIM4v7pw0;
1503     double BSIM4v7pdvtp0;
1504     double BSIM4v7pdvtp1;
1505     double BSIM4v7pdvtp2;        /* New DIBL/Rout */
1506     double BSIM4v7pdvtp3;
1507     double BSIM4v7pdvtp4;
1508     double BSIM4v7pdvtp5;
1509     double BSIM4v7plpe0;
1510     double BSIM4v7plpeb;
1511     double BSIM4v7pdvt0;
1512     double BSIM4v7pdvt1;
1513     double BSIM4v7pdvt2;
1514     double BSIM4v7pdvt0w;
1515     double BSIM4v7pdvt1w;
1516     double BSIM4v7pdvt2w;
1517     double BSIM4v7pdrout;
1518     double BSIM4v7pdsub;
1519     double BSIM4v7pvth0;
1520     double BSIM4v7pua;
1521     double BSIM4v7pua1;
1522     double BSIM4v7pub;
1523     double BSIM4v7pub1;
1524     double BSIM4v7puc;
1525     double BSIM4v7puc1;
1526     double BSIM4v7pud;
1527     double BSIM4v7pud1;
1528     double BSIM4v7pup;
1529     double BSIM4v7plp;
1530     double BSIM4v7pu0;
1531     double BSIM4v7peu;
1532     double BSIM4v7pucs;
1533     double BSIM4v7pute;
1534     double BSIM4v7pucste;
1535     double BSIM4v7pvoff;
1536     double BSIM4v7ptvoff;
1537     double BSIM4v7ptnfactor;         /* v4.7 Temp dep of leakage current */
1538     double BSIM4v7pteta0;           /* v4.7 temp dep of leakage current */
1539     double BSIM4v7ptvoffcv;        /* v4.7 temp dep of leakage current */
1540     double BSIM4v7pminv;
1541     double BSIM4v7pminvcv;
1542     double BSIM4v7pdelta;
1543     double BSIM4v7prdsw;
1544     double BSIM4v7prsw;
1545     double BSIM4v7prdw;
1546     double BSIM4v7pprwg;
1547     double BSIM4v7pprwb;
1548     double BSIM4v7pprt;
1549     double BSIM4v7peta0;
1550     double BSIM4v7petab;
1551     double BSIM4v7ppclm;
1552     double BSIM4v7ppdibl1;
1553     double BSIM4v7ppdibl2;
1554     double BSIM4v7ppdiblb;
1555     double BSIM4v7pfprout;
1556     double BSIM4v7ppdits;
1557     double BSIM4v7ppditsd;
1558     double BSIM4v7ppscbe1;
1559     double BSIM4v7ppscbe2;
1560     double BSIM4v7ppvag;
1561     double BSIM4v7pwr;
1562     double BSIM4v7pdwg;
1563     double BSIM4v7pdwb;
1564     double BSIM4v7pb0;
1565     double BSIM4v7pb1;
1566     double BSIM4v7palpha0;
1567     double BSIM4v7palpha1;
1568     double BSIM4v7pbeta0;
1569     double BSIM4v7pvfb;
1570     double BSIM4v7pagidl;
1571     double BSIM4v7pbgidl;
1572     double BSIM4v7pcgidl;
1573     double BSIM4v7pegidl;
1574     double BSIM4v7pfgidl; /* v4.7 New GIDL/GISL */
1575     double BSIM4v7pkgidl; /* v4.7 New GIDL/GISL */
1576     double BSIM4v7prgidl; /* v4.7 New GIDL/GISL */
1577     double BSIM4v7pagisl;
1578     double BSIM4v7pbgisl;
1579     double BSIM4v7pcgisl;
1580     double BSIM4v7pegisl;
1581     double BSIM4v7pfgisl; /* v4.7 New GIDL/GISL */
1582     double BSIM4v7pkgisl; /* v4.7 New GIDL/GISL */
1583     double BSIM4v7prgisl; /* v4.7 New GIDL/GISL */
1584     double BSIM4v7paigc;
1585     double BSIM4v7pbigc;
1586     double BSIM4v7pcigc;
1587     double BSIM4v7paigsd;
1588     double BSIM4v7pbigsd;
1589     double BSIM4v7pcigsd;
1590     double BSIM4v7paigs;
1591     double BSIM4v7pbigs;
1592     double BSIM4v7pcigs;
1593     double BSIM4v7paigd;
1594     double BSIM4v7pbigd;
1595     double BSIM4v7pcigd;
1596     double BSIM4v7paigbacc;
1597     double BSIM4v7pbigbacc;
1598     double BSIM4v7pcigbacc;
1599     double BSIM4v7paigbinv;
1600     double BSIM4v7pbigbinv;
1601     double BSIM4v7pcigbinv;
1602     double BSIM4v7pnigc;
1603     double BSIM4v7pnigbacc;
1604     double BSIM4v7pnigbinv;
1605     double BSIM4v7pntox;
1606     double BSIM4v7peigbinv;
1607     double BSIM4v7ppigcd;
1608     double BSIM4v7ppoxedge;
1609     double BSIM4v7pxrcrg1;
1610     double BSIM4v7pxrcrg2;
1611     double BSIM4v7plambda;
1612     double BSIM4v7pvtl;
1613     double BSIM4v7pxn;
1614     double BSIM4v7pvfbsdoff;
1615     double BSIM4v7ptvfbsdoff;
1616 
1617     /* CV model */
1618     double BSIM4v7pcgsl;
1619     double BSIM4v7pcgdl;
1620     double BSIM4v7pckappas;
1621     double BSIM4v7pckappad;
1622     double BSIM4v7pcf;
1623     double BSIM4v7pclc;
1624     double BSIM4v7pcle;
1625     double BSIM4v7pvfbcv;
1626     double BSIM4v7pnoff;
1627     double BSIM4v7pvoffcv;
1628     double BSIM4v7pacde;
1629     double BSIM4v7pmoin;
1630 
1631     double BSIM4v7tnom;
1632     double BSIM4v7cgso;
1633     double BSIM4v7cgdo;
1634     double BSIM4v7cgbo;
1635     double BSIM4v7xpart;
1636     double BSIM4v7cFringOut;
1637     double BSIM4v7cFringMax;
1638 
1639     double BSIM4v7sheetResistance;
1640     double BSIM4v7SjctSatCurDensity;
1641     double BSIM4v7DjctSatCurDensity;
1642     double BSIM4v7SjctSidewallSatCurDensity;
1643     double BSIM4v7DjctSidewallSatCurDensity;
1644     double BSIM4v7SjctGateSidewallSatCurDensity;
1645     double BSIM4v7DjctGateSidewallSatCurDensity;
1646     double BSIM4v7SbulkJctPotential;
1647     double BSIM4v7DbulkJctPotential;
1648     double BSIM4v7SbulkJctBotGradingCoeff;
1649     double BSIM4v7DbulkJctBotGradingCoeff;
1650     double BSIM4v7SbulkJctSideGradingCoeff;
1651     double BSIM4v7DbulkJctSideGradingCoeff;
1652     double BSIM4v7SbulkJctGateSideGradingCoeff;
1653     double BSIM4v7DbulkJctGateSideGradingCoeff;
1654     double BSIM4v7SsidewallJctPotential;
1655     double BSIM4v7DsidewallJctPotential;
1656     double BSIM4v7SGatesidewallJctPotential;
1657     double BSIM4v7DGatesidewallJctPotential;
1658     double BSIM4v7SunitAreaJctCap;
1659     double BSIM4v7DunitAreaJctCap;
1660     double BSIM4v7SunitLengthSidewallJctCap;
1661     double BSIM4v7DunitLengthSidewallJctCap;
1662     double BSIM4v7SunitLengthGateSidewallJctCap;
1663     double BSIM4v7DunitLengthGateSidewallJctCap;
1664     double BSIM4v7SjctEmissionCoeff;
1665     double BSIM4v7DjctEmissionCoeff;
1666     double BSIM4v7SjctTempExponent;
1667     double BSIM4v7DjctTempExponent;
1668     double BSIM4v7njtsstemp;
1669     double BSIM4v7njtsswstemp;
1670     double BSIM4v7njtsswgstemp;
1671     double BSIM4v7njtsdtemp;
1672     double BSIM4v7njtsswdtemp;
1673     double BSIM4v7njtsswgdtemp;
1674 
1675     double BSIM4v7Lint;
1676     double BSIM4v7Ll;
1677     double BSIM4v7Llc;
1678     double BSIM4v7Lln;
1679     double BSIM4v7Lw;
1680     double BSIM4v7Lwc;
1681     double BSIM4v7Lwn;
1682     double BSIM4v7Lwl;
1683     double BSIM4v7Lwlc;
1684     double BSIM4v7Lmin;
1685     double BSIM4v7Lmax;
1686 
1687     double BSIM4v7Wint;
1688     double BSIM4v7Wl;
1689     double BSIM4v7Wlc;
1690     double BSIM4v7Wln;
1691     double BSIM4v7Ww;
1692     double BSIM4v7Wwc;
1693     double BSIM4v7Wwn;
1694     double BSIM4v7Wwl;
1695     double BSIM4v7Wwlc;
1696     double BSIM4v7Wmin;
1697     double BSIM4v7Wmax;
1698 
1699     /* added for stress effect */
1700     double BSIM4v7saref;
1701     double BSIM4v7sbref;
1702     double BSIM4v7wlod;
1703     double BSIM4v7ku0;
1704     double BSIM4v7kvsat;
1705     double BSIM4v7kvth0;
1706     double BSIM4v7tku0;
1707     double BSIM4v7llodku0;
1708     double BSIM4v7wlodku0;
1709     double BSIM4v7llodvth;
1710     double BSIM4v7wlodvth;
1711     double BSIM4v7lku0;
1712     double BSIM4v7wku0;
1713     double BSIM4v7pku0;
1714     double BSIM4v7lkvth0;
1715     double BSIM4v7wkvth0;
1716     double BSIM4v7pkvth0;
1717     double BSIM4v7stk2;
1718     double BSIM4v7lodk2;
1719     double BSIM4v7steta0;
1720     double BSIM4v7lodeta0;
1721 
1722     double BSIM4v7web;
1723     double BSIM4v7wec;
1724     double BSIM4v7kvth0we;
1725     double BSIM4v7k2we;
1726     double BSIM4v7ku0we;
1727     double BSIM4v7scref;
1728     double BSIM4v7wpemod;
1729     double BSIM4v7lkvth0we;
1730     double BSIM4v7lk2we;
1731     double BSIM4v7lku0we;
1732     double BSIM4v7wkvth0we;
1733     double BSIM4v7wk2we;
1734     double BSIM4v7wku0we;
1735     double BSIM4v7pkvth0we;
1736     double BSIM4v7pk2we;
1737     double BSIM4v7pku0we;
1738 
1739 /* Pre-calculated constants
1740  * move to size-dependent param */
1741     double BSIM4v7Eg0;
1742     double BSIM4v7vtm;
1743     double BSIM4v7vtm0;
1744     double BSIM4v7coxe;
1745     double BSIM4v7coxp;
1746     double BSIM4v7cof1;
1747     double BSIM4v7cof2;
1748     double BSIM4v7cof3;
1749     double BSIM4v7cof4;
1750     double BSIM4v7vcrit;
1751     double BSIM4v7factor1;
1752     double BSIM4v7PhiBS;
1753     double BSIM4v7PhiBSWS;
1754     double BSIM4v7PhiBSWGS;
1755     double BSIM4v7SjctTempSatCurDensity;
1756     double BSIM4v7SjctSidewallTempSatCurDensity;
1757     double BSIM4v7SjctGateSidewallTempSatCurDensity;
1758     double BSIM4v7PhiBD;
1759     double BSIM4v7PhiBSWD;
1760     double BSIM4v7PhiBSWGD;
1761     double BSIM4v7DjctTempSatCurDensity;
1762     double BSIM4v7DjctSidewallTempSatCurDensity;
1763     double BSIM4v7DjctGateSidewallTempSatCurDensity;
1764     double BSIM4v7SunitAreaTempJctCap;
1765     double BSIM4v7DunitAreaTempJctCap;
1766     double BSIM4v7SunitLengthSidewallTempJctCap;
1767     double BSIM4v7DunitLengthSidewallTempJctCap;
1768     double BSIM4v7SunitLengthGateSidewallTempJctCap;
1769     double BSIM4v7DunitLengthGateSidewallTempJctCap;
1770 
1771     double BSIM4v7oxideTrapDensityA;
1772     double BSIM4v7oxideTrapDensityB;
1773     double BSIM4v7oxideTrapDensityC;
1774     double BSIM4v7em;
1775     double BSIM4v7ef;
1776     double BSIM4v7af;
1777     double BSIM4v7kf;
1778 
1779     double BSIM4v7vgsMax;
1780     double BSIM4v7vgdMax;
1781     double BSIM4v7vgbMax;
1782     double BSIM4v7vdsMax;
1783     double BSIM4v7vbsMax;
1784     double BSIM4v7vbdMax;
1785     double BSIM4v7vgsrMax;
1786     double BSIM4v7vgdrMax;
1787     double BSIM4v7vgbrMax;
1788     double BSIM4v7vbsrMax;
1789     double BSIM4v7vbdrMax;
1790 
1791     struct bsim4SizeDependParam *pSizeDependParamKnot;
1792 
1793 #ifdef USE_OMP
1794     int BSIM4v7InstCount;
1795     struct sBSIM4v7instance **BSIM4v7InstanceArray;
1796 #endif
1797 
1798     /* Flags */
1799     unsigned  BSIM4v7mobModGiven :1;
1800     unsigned  BSIM4v7binUnitGiven :1;
1801     unsigned  BSIM4v7cvchargeModGiven :1;
1802     unsigned  BSIM4v7capModGiven :1;
1803     unsigned  BSIM4v7dioModGiven :1;
1804     unsigned  BSIM4v7rdsModGiven :1;
1805     unsigned  BSIM4v7rbodyModGiven :1;
1806     unsigned  BSIM4v7rgateModGiven :1;
1807     unsigned  BSIM4v7perModGiven :1;
1808     unsigned  BSIM4v7geoModGiven :1;
1809     unsigned  BSIM4v7rgeoModGiven :1;
1810     unsigned  BSIM4v7paramChkGiven :1;
1811     unsigned  BSIM4v7trnqsModGiven :1;
1812     unsigned  BSIM4v7acnqsModGiven :1;
1813     unsigned  BSIM4v7fnoiModGiven :1;
1814     unsigned  BSIM4v7tnoiModGiven :1;
1815     unsigned  BSIM4v7mtrlModGiven :1;
1816     unsigned  BSIM4v7mtrlCompatModGiven :1;
1817     unsigned  BSIM4v7gidlModGiven :1;    /* v4.7 New GIDL/GISL */
1818     unsigned  BSIM4v7igcModGiven :1;
1819     unsigned  BSIM4v7igbModGiven :1;
1820     unsigned  BSIM4v7tempModGiven :1;
1821     unsigned  BSIM4v7typeGiven   :1;
1822     unsigned  BSIM4v7toxrefGiven   :1;
1823     unsigned  BSIM4v7eotGiven   :1;
1824     unsigned  BSIM4v7vddeotGiven   :1;
1825     unsigned  BSIM4v7tempeotGiven  :1;
1826     unsigned  BSIM4v7leffeotGiven  :1;
1827     unsigned  BSIM4v7weffeotGiven  :1;
1828     unsigned  BSIM4v7adosGiven   :1;
1829     unsigned  BSIM4v7bdosGiven   :1;
1830     unsigned  BSIM4v7toxeGiven   :1;
1831     unsigned  BSIM4v7toxpGiven   :1;
1832     unsigned  BSIM4v7toxmGiven   :1;
1833     unsigned  BSIM4v7dtoxGiven   :1;
1834     unsigned  BSIM4v7epsroxGiven   :1;
1835     unsigned  BSIM4v7versionGiven   :1;
1836     unsigned  BSIM4v7cdscGiven   :1;
1837     unsigned  BSIM4v7cdscbGiven   :1;
1838     unsigned  BSIM4v7cdscdGiven   :1;
1839     unsigned  BSIM4v7citGiven   :1;
1840     unsigned  BSIM4v7nfactorGiven   :1;
1841     unsigned  BSIM4v7xjGiven   :1;
1842     unsigned  BSIM4v7vsatGiven   :1;
1843     unsigned  BSIM4v7atGiven   :1;
1844     unsigned  BSIM4v7a0Given   :1;
1845     unsigned  BSIM4v7agsGiven   :1;
1846     unsigned  BSIM4v7a1Given   :1;
1847     unsigned  BSIM4v7a2Given   :1;
1848     unsigned  BSIM4v7ketaGiven   :1;
1849     unsigned  BSIM4v7nsubGiven   :1;
1850     unsigned  BSIM4v7phigGiven   :1;
1851     unsigned  BSIM4v7epsrgateGiven   :1;
1852     unsigned  BSIM4v7easubGiven   :1;
1853     unsigned  BSIM4v7epsrsubGiven   :1;
1854     unsigned  BSIM4v7ni0subGiven   :1;
1855     unsigned  BSIM4v7bg0subGiven   :1;
1856     unsigned  BSIM4v7tbgasubGiven   :1;
1857     unsigned  BSIM4v7tbgbsubGiven   :1;
1858     unsigned  BSIM4v7ndepGiven   :1;
1859     unsigned  BSIM4v7nsdGiven    :1;
1860     unsigned  BSIM4v7phinGiven   :1;
1861     unsigned  BSIM4v7ngateGiven   :1;
1862     unsigned  BSIM4v7gamma1Given   :1;
1863     unsigned  BSIM4v7gamma2Given   :1;
1864     unsigned  BSIM4v7vbxGiven   :1;
1865     unsigned  BSIM4v7vbmGiven   :1;
1866     unsigned  BSIM4v7xtGiven   :1;
1867     unsigned  BSIM4v7k1Given   :1;
1868     unsigned  BSIM4v7kt1Given   :1;
1869     unsigned  BSIM4v7kt1lGiven   :1;
1870     unsigned  BSIM4v7kt2Given   :1;
1871     unsigned  BSIM4v7k2Given   :1;
1872     unsigned  BSIM4v7k3Given   :1;
1873     unsigned  BSIM4v7k3bGiven   :1;
1874     unsigned  BSIM4v7w0Given   :1;
1875     unsigned  BSIM4v7dvtp0Given :1;
1876     unsigned  BSIM4v7dvtp1Given :1;
1877     unsigned  BSIM4v7dvtp2Given :1;        /* New DIBL/Rout */
1878     unsigned  BSIM4v7dvtp3Given :1;
1879     unsigned  BSIM4v7dvtp4Given :1;
1880     unsigned  BSIM4v7dvtp5Given :1;
1881     unsigned  BSIM4v7lpe0Given   :1;
1882     unsigned  BSIM4v7lpebGiven   :1;
1883     unsigned  BSIM4v7dvt0Given   :1;
1884     unsigned  BSIM4v7dvt1Given   :1;
1885     unsigned  BSIM4v7dvt2Given   :1;
1886     unsigned  BSIM4v7dvt0wGiven   :1;
1887     unsigned  BSIM4v7dvt1wGiven   :1;
1888     unsigned  BSIM4v7dvt2wGiven   :1;
1889     unsigned  BSIM4v7droutGiven   :1;
1890     unsigned  BSIM4v7dsubGiven   :1;
1891     unsigned  BSIM4v7vth0Given   :1;
1892     unsigned  BSIM4v7euGiven   :1;
1893     unsigned  BSIM4v7ucsGiven  :1;
1894     unsigned  BSIM4v7uaGiven   :1;
1895     unsigned  BSIM4v7ua1Given   :1;
1896     unsigned  BSIM4v7ubGiven   :1;
1897     unsigned  BSIM4v7ub1Given   :1;
1898     unsigned  BSIM4v7ucGiven   :1;
1899     unsigned  BSIM4v7uc1Given   :1;
1900     unsigned  BSIM4v7udGiven     :1;
1901     unsigned  BSIM4v7ud1Given     :1;
1902     unsigned  BSIM4v7upGiven     :1;
1903     unsigned  BSIM4v7lpGiven     :1;
1904     unsigned  BSIM4v7u0Given   :1;
1905     unsigned  BSIM4v7uteGiven   :1;
1906     unsigned  BSIM4v7ucsteGiven :1;
1907     unsigned  BSIM4v7voffGiven   :1;
1908     unsigned  BSIM4v7tvoffGiven   :1;
1909     unsigned  BSIM4v7tnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
1910     unsigned  BSIM4v7teta0Given   :1;           /* v4.7 temp dep of leakage current */
1911     unsigned  BSIM4v7tvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
1912     unsigned  BSIM4v7vofflGiven  :1;
1913     unsigned  BSIM4v7voffcvlGiven  :1;
1914     unsigned  BSIM4v7minvGiven   :1;
1915     unsigned  BSIM4v7minvcvGiven   :1;
1916     unsigned  BSIM4v7rdswGiven   :1;
1917     unsigned  BSIM4v7rdswminGiven :1;
1918     unsigned  BSIM4v7rdwminGiven :1;
1919     unsigned  BSIM4v7rswminGiven :1;
1920     unsigned  BSIM4v7rswGiven   :1;
1921     unsigned  BSIM4v7rdwGiven   :1;
1922     unsigned  BSIM4v7prwgGiven   :1;
1923     unsigned  BSIM4v7prwbGiven   :1;
1924     unsigned  BSIM4v7prtGiven   :1;
1925     unsigned  BSIM4v7eta0Given   :1;
1926     unsigned  BSIM4v7etabGiven   :1;
1927     unsigned  BSIM4v7pclmGiven   :1;
1928     unsigned  BSIM4v7pdibl1Given   :1;
1929     unsigned  BSIM4v7pdibl2Given   :1;
1930     unsigned  BSIM4v7pdiblbGiven   :1;
1931     unsigned  BSIM4v7fproutGiven   :1;
1932     unsigned  BSIM4v7pditsGiven    :1;
1933     unsigned  BSIM4v7pditsdGiven    :1;
1934     unsigned  BSIM4v7pditslGiven    :1;
1935     unsigned  BSIM4v7pscbe1Given   :1;
1936     unsigned  BSIM4v7pscbe2Given   :1;
1937     unsigned  BSIM4v7pvagGiven   :1;
1938     unsigned  BSIM4v7deltaGiven  :1;
1939     unsigned  BSIM4v7wrGiven   :1;
1940     unsigned  BSIM4v7dwgGiven   :1;
1941     unsigned  BSIM4v7dwbGiven   :1;
1942     unsigned  BSIM4v7b0Given   :1;
1943     unsigned  BSIM4v7b1Given   :1;
1944     unsigned  BSIM4v7alpha0Given   :1;
1945     unsigned  BSIM4v7alpha1Given   :1;
1946     unsigned  BSIM4v7beta0Given   :1;
1947     unsigned  BSIM4v7agidlGiven   :1;
1948     unsigned  BSIM4v7bgidlGiven   :1;
1949     unsigned  BSIM4v7cgidlGiven   :1;
1950     unsigned  BSIM4v7egidlGiven   :1;
1951     unsigned  BSIM4v7fgidlGiven   :1;    /* v4.7 New GIDL/GISL */
1952     unsigned  BSIM4v7kgidlGiven   :1;    /* v4.7 New GIDL/GISL */
1953     unsigned  BSIM4v7rgidlGiven   :1;    /* v4.7 New GIDL/GISL */
1954     unsigned  BSIM4v7agislGiven   :1;
1955     unsigned  BSIM4v7bgislGiven   :1;
1956     unsigned  BSIM4v7cgislGiven   :1;
1957     unsigned  BSIM4v7egislGiven   :1;
1958     unsigned  BSIM4v7fgislGiven   :1;    /* v4.7 New GIDL/GISL */
1959     unsigned  BSIM4v7kgislGiven   :1;    /* v4.7 New GIDL/GISL */
1960     unsigned  BSIM4v7rgislGiven   :1;    /* v4.7 New GIDL/GISL */
1961     unsigned  BSIM4v7aigcGiven   :1;
1962     unsigned  BSIM4v7bigcGiven   :1;
1963     unsigned  BSIM4v7cigcGiven   :1;
1964     unsigned  BSIM4v7aigsdGiven   :1;
1965     unsigned  BSIM4v7bigsdGiven   :1;
1966     unsigned  BSIM4v7cigsdGiven   :1;
1967     unsigned  BSIM4v7aigsGiven   :1;
1968     unsigned  BSIM4v7bigsGiven   :1;
1969     unsigned  BSIM4v7cigsGiven   :1;
1970     unsigned  BSIM4v7aigdGiven   :1;
1971     unsigned  BSIM4v7bigdGiven   :1;
1972     unsigned  BSIM4v7cigdGiven   :1;
1973     unsigned  BSIM4v7aigbaccGiven   :1;
1974     unsigned  BSIM4v7bigbaccGiven   :1;
1975     unsigned  BSIM4v7cigbaccGiven   :1;
1976     unsigned  BSIM4v7aigbinvGiven   :1;
1977     unsigned  BSIM4v7bigbinvGiven   :1;
1978     unsigned  BSIM4v7cigbinvGiven   :1;
1979     unsigned  BSIM4v7nigcGiven   :1;
1980     unsigned  BSIM4v7nigbinvGiven   :1;
1981     unsigned  BSIM4v7nigbaccGiven   :1;
1982     unsigned  BSIM4v7ntoxGiven   :1;
1983     unsigned  BSIM4v7eigbinvGiven   :1;
1984     unsigned  BSIM4v7pigcdGiven   :1;
1985     unsigned  BSIM4v7poxedgeGiven   :1;
1986     unsigned  BSIM4v7ijthdfwdGiven  :1;
1987     unsigned  BSIM4v7ijthsfwdGiven  :1;
1988     unsigned  BSIM4v7ijthdrevGiven  :1;
1989     unsigned  BSIM4v7ijthsrevGiven  :1;
1990     unsigned  BSIM4v7xjbvdGiven   :1;
1991     unsigned  BSIM4v7xjbvsGiven   :1;
1992     unsigned  BSIM4v7bvdGiven   :1;
1993     unsigned  BSIM4v7bvsGiven   :1;
1994 
1995     unsigned  BSIM4v7jtssGiven   :1;
1996     unsigned  BSIM4v7jtsdGiven   :1;
1997     unsigned  BSIM4v7jtsswsGiven   :1;
1998     unsigned  BSIM4v7jtsswdGiven   :1;
1999     unsigned  BSIM4v7jtsswgsGiven   :1;
2000     unsigned  BSIM4v7jtsswgdGiven   :1;
2001         unsigned  BSIM4v7jtweffGiven    :1;
2002     unsigned  BSIM4v7njtsGiven   :1;
2003     unsigned  BSIM4v7njtsswGiven   :1;
2004     unsigned  BSIM4v7njtsswgGiven   :1;
2005     unsigned  BSIM4v7njtsdGiven   :1;
2006     unsigned  BSIM4v7njtsswdGiven   :1;
2007     unsigned  BSIM4v7njtsswgdGiven   :1;
2008     unsigned  BSIM4v7xtssGiven   :1;
2009     unsigned  BSIM4v7xtsdGiven   :1;
2010     unsigned  BSIM4v7xtsswsGiven   :1;
2011     unsigned  BSIM4v7xtsswdGiven   :1;
2012     unsigned  BSIM4v7xtsswgsGiven   :1;
2013     unsigned  BSIM4v7xtsswgdGiven   :1;
2014     unsigned  BSIM4v7tnjtsGiven   :1;
2015     unsigned  BSIM4v7tnjtsswGiven   :1;
2016     unsigned  BSIM4v7tnjtsswgGiven   :1;
2017     unsigned  BSIM4v7tnjtsdGiven   :1;
2018     unsigned  BSIM4v7tnjtsswdGiven   :1;
2019     unsigned  BSIM4v7tnjtsswgdGiven   :1;
2020     unsigned  BSIM4v7vtssGiven   :1;
2021     unsigned  BSIM4v7vtsdGiven   :1;
2022     unsigned  BSIM4v7vtsswsGiven   :1;
2023     unsigned  BSIM4v7vtsswdGiven   :1;
2024     unsigned  BSIM4v7vtsswgsGiven   :1;
2025     unsigned  BSIM4v7vtsswgdGiven   :1;
2026 
2027     unsigned  BSIM4v7vfbGiven   :1;
2028     unsigned  BSIM4v7gbminGiven :1;
2029     unsigned  BSIM4v7rbdbGiven :1;
2030     unsigned  BSIM4v7rbsbGiven :1;
2031     unsigned  BSIM4v7rbpsGiven :1;
2032     unsigned  BSIM4v7rbpdGiven :1;
2033     unsigned  BSIM4v7rbpbGiven :1;
2034 
2035     unsigned BSIM4v7rbps0Given :1;
2036     unsigned BSIM4v7rbpslGiven :1;
2037     unsigned BSIM4v7rbpswGiven :1;
2038     unsigned BSIM4v7rbpsnfGiven :1;
2039 
2040     unsigned BSIM4v7rbpd0Given :1;
2041     unsigned BSIM4v7rbpdlGiven :1;
2042     unsigned BSIM4v7rbpdwGiven :1;
2043     unsigned BSIM4v7rbpdnfGiven :1;
2044 
2045     unsigned BSIM4v7rbpbx0Given :1;
2046     unsigned BSIM4v7rbpbxlGiven :1;
2047     unsigned BSIM4v7rbpbxwGiven :1;
2048     unsigned BSIM4v7rbpbxnfGiven :1;
2049     unsigned BSIM4v7rbpby0Given :1;
2050     unsigned BSIM4v7rbpbylGiven :1;
2051     unsigned BSIM4v7rbpbywGiven :1;
2052     unsigned BSIM4v7rbpbynfGiven :1;
2053 
2054     unsigned BSIM4v7rbsbx0Given :1;
2055     unsigned BSIM4v7rbsby0Given :1;
2056     unsigned BSIM4v7rbdbx0Given :1;
2057     unsigned BSIM4v7rbdby0Given :1;
2058 
2059     unsigned BSIM4v7rbsdbxlGiven :1;
2060     unsigned BSIM4v7rbsdbxwGiven :1;
2061     unsigned BSIM4v7rbsdbxnfGiven :1;
2062     unsigned BSIM4v7rbsdbylGiven :1;
2063     unsigned BSIM4v7rbsdbywGiven :1;
2064     unsigned BSIM4v7rbsdbynfGiven :1;
2065 
2066     unsigned  BSIM4v7xrcrg1Given   :1;
2067     unsigned  BSIM4v7xrcrg2Given   :1;
2068     unsigned  BSIM4v7tnoiaGiven    :1;
2069     unsigned  BSIM4v7tnoibGiven    :1;
2070     unsigned  BSIM4v7tnoicGiven    :1;
2071     unsigned  BSIM4v7rnoiaGiven    :1;
2072     unsigned  BSIM4v7rnoibGiven    :1;
2073     unsigned  BSIM4v7rnoicGiven    :1;
2074     unsigned  BSIM4v7ntnoiGiven    :1;
2075 
2076     unsigned  BSIM4v7lambdaGiven    :1;
2077     unsigned  BSIM4v7vtlGiven    :1;
2078     unsigned  BSIM4v7lcGiven    :1;
2079     unsigned  BSIM4v7xnGiven    :1;
2080     unsigned  BSIM4v7vfbsdoffGiven    :1;
2081     unsigned  BSIM4v7lintnoiGiven    :1;
2082     unsigned  BSIM4v7tvfbsdoffGiven    :1;
2083 
2084     /* CV model and parasitics */
2085     unsigned  BSIM4v7cgslGiven   :1;
2086     unsigned  BSIM4v7cgdlGiven   :1;
2087     unsigned  BSIM4v7ckappasGiven   :1;
2088     unsigned  BSIM4v7ckappadGiven   :1;
2089     unsigned  BSIM4v7cfGiven   :1;
2090     unsigned  BSIM4v7vfbcvGiven   :1;
2091     unsigned  BSIM4v7clcGiven   :1;
2092     unsigned  BSIM4v7cleGiven   :1;
2093     unsigned  BSIM4v7dwcGiven   :1;
2094     unsigned  BSIM4v7dlcGiven   :1;
2095     unsigned  BSIM4v7xwGiven    :1;
2096     unsigned  BSIM4v7xlGiven    :1;
2097     unsigned  BSIM4v7dlcigGiven   :1;
2098     unsigned  BSIM4v7dlcigdGiven   :1;
2099     unsigned  BSIM4v7dwjGiven   :1;
2100     unsigned  BSIM4v7noffGiven  :1;
2101     unsigned  BSIM4v7voffcvGiven :1;
2102     unsigned  BSIM4v7acdeGiven  :1;
2103     unsigned  BSIM4v7moinGiven  :1;
2104     unsigned  BSIM4v7tcjGiven   :1;
2105     unsigned  BSIM4v7tcjswGiven :1;
2106     unsigned  BSIM4v7tcjswgGiven :1;
2107     unsigned  BSIM4v7tpbGiven    :1;
2108     unsigned  BSIM4v7tpbswGiven  :1;
2109     unsigned  BSIM4v7tpbswgGiven :1;
2110     unsigned  BSIM4v7dmcgGiven :1;
2111     unsigned  BSIM4v7dmciGiven :1;
2112     unsigned  BSIM4v7dmdgGiven :1;
2113     unsigned  BSIM4v7dmcgtGiven :1;
2114     unsigned  BSIM4v7xgwGiven :1;
2115     unsigned  BSIM4v7xglGiven :1;
2116     unsigned  BSIM4v7rshgGiven :1;
2117     unsigned  BSIM4v7ngconGiven :1;
2118 
2119 
2120     /* Length dependence */
2121     unsigned  BSIM4v7lcdscGiven   :1;
2122     unsigned  BSIM4v7lcdscbGiven   :1;
2123     unsigned  BSIM4v7lcdscdGiven   :1;
2124     unsigned  BSIM4v7lcitGiven   :1;
2125     unsigned  BSIM4v7lnfactorGiven   :1;
2126     unsigned  BSIM4v7lxjGiven   :1;
2127     unsigned  BSIM4v7lvsatGiven   :1;
2128     unsigned  BSIM4v7latGiven   :1;
2129     unsigned  BSIM4v7la0Given   :1;
2130     unsigned  BSIM4v7lagsGiven   :1;
2131     unsigned  BSIM4v7la1Given   :1;
2132     unsigned  BSIM4v7la2Given   :1;
2133     unsigned  BSIM4v7lketaGiven   :1;
2134     unsigned  BSIM4v7lnsubGiven   :1;
2135     unsigned  BSIM4v7lndepGiven   :1;
2136     unsigned  BSIM4v7lnsdGiven    :1;
2137     unsigned  BSIM4v7lphinGiven   :1;
2138     unsigned  BSIM4v7lngateGiven   :1;
2139     unsigned  BSIM4v7lgamma1Given   :1;
2140     unsigned  BSIM4v7lgamma2Given   :1;
2141     unsigned  BSIM4v7lvbxGiven   :1;
2142     unsigned  BSIM4v7lvbmGiven   :1;
2143     unsigned  BSIM4v7lxtGiven   :1;
2144     unsigned  BSIM4v7lk1Given   :1;
2145     unsigned  BSIM4v7lkt1Given   :1;
2146     unsigned  BSIM4v7lkt1lGiven   :1;
2147     unsigned  BSIM4v7lkt2Given   :1;
2148     unsigned  BSIM4v7lk2Given   :1;
2149     unsigned  BSIM4v7lk3Given   :1;
2150     unsigned  BSIM4v7lk3bGiven   :1;
2151     unsigned  BSIM4v7lw0Given   :1;
2152     unsigned  BSIM4v7ldvtp0Given :1;
2153     unsigned  BSIM4v7ldvtp1Given :1;
2154     unsigned  BSIM4v7ldvtp2Given :1;        /* New DIBL/Rout */
2155     unsigned  BSIM4v7ldvtp3Given :1;
2156     unsigned  BSIM4v7ldvtp4Given :1;
2157     unsigned  BSIM4v7ldvtp5Given :1;
2158     unsigned  BSIM4v7llpe0Given   :1;
2159     unsigned  BSIM4v7llpebGiven   :1;
2160     unsigned  BSIM4v7ldvt0Given   :1;
2161     unsigned  BSIM4v7ldvt1Given   :1;
2162     unsigned  BSIM4v7ldvt2Given   :1;
2163     unsigned  BSIM4v7ldvt0wGiven   :1;
2164     unsigned  BSIM4v7ldvt1wGiven   :1;
2165     unsigned  BSIM4v7ldvt2wGiven   :1;
2166     unsigned  BSIM4v7ldroutGiven   :1;
2167     unsigned  BSIM4v7ldsubGiven   :1;
2168     unsigned  BSIM4v7lvth0Given   :1;
2169     unsigned  BSIM4v7luaGiven   :1;
2170     unsigned  BSIM4v7lua1Given   :1;
2171     unsigned  BSIM4v7lubGiven   :1;
2172     unsigned  BSIM4v7lub1Given   :1;
2173     unsigned  BSIM4v7lucGiven   :1;
2174     unsigned  BSIM4v7luc1Given   :1;
2175     unsigned  BSIM4v7ludGiven     :1;
2176     unsigned  BSIM4v7lud1Given     :1;
2177     unsigned  BSIM4v7lupGiven     :1;
2178     unsigned  BSIM4v7llpGiven     :1;
2179     unsigned  BSIM4v7lu0Given   :1;
2180     unsigned  BSIM4v7leuGiven   :1;
2181         unsigned  BSIM4v7lucsGiven   :1;
2182     unsigned  BSIM4v7luteGiven   :1;
2183         unsigned  BSIM4v7lucsteGiven  :1;
2184     unsigned  BSIM4v7lvoffGiven   :1;
2185     unsigned  BSIM4v7ltvoffGiven   :1;
2186     unsigned  BSIM4v7ltnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
2187     unsigned  BSIM4v7lteta0Given   :1;           /* v4.7 temp dep of leakage current */
2188     unsigned  BSIM4v7ltvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
2189     unsigned  BSIM4v7lminvGiven   :1;
2190     unsigned  BSIM4v7lminvcvGiven   :1;
2191     unsigned  BSIM4v7lrdswGiven   :1;
2192     unsigned  BSIM4v7lrswGiven   :1;
2193     unsigned  BSIM4v7lrdwGiven   :1;
2194     unsigned  BSIM4v7lprwgGiven   :1;
2195     unsigned  BSIM4v7lprwbGiven   :1;
2196     unsigned  BSIM4v7lprtGiven   :1;
2197     unsigned  BSIM4v7leta0Given   :1;
2198     unsigned  BSIM4v7letabGiven   :1;
2199     unsigned  BSIM4v7lpclmGiven   :1;
2200     unsigned  BSIM4v7lpdibl1Given   :1;
2201     unsigned  BSIM4v7lpdibl2Given   :1;
2202     unsigned  BSIM4v7lpdiblbGiven   :1;
2203     unsigned  BSIM4v7lfproutGiven   :1;
2204     unsigned  BSIM4v7lpditsGiven    :1;
2205     unsigned  BSIM4v7lpditsdGiven    :1;
2206     unsigned  BSIM4v7lpscbe1Given   :1;
2207     unsigned  BSIM4v7lpscbe2Given   :1;
2208     unsigned  BSIM4v7lpvagGiven   :1;
2209     unsigned  BSIM4v7ldeltaGiven  :1;
2210     unsigned  BSIM4v7lwrGiven   :1;
2211     unsigned  BSIM4v7ldwgGiven   :1;
2212     unsigned  BSIM4v7ldwbGiven   :1;
2213     unsigned  BSIM4v7lb0Given   :1;
2214     unsigned  BSIM4v7lb1Given   :1;
2215     unsigned  BSIM4v7lalpha0Given   :1;
2216     unsigned  BSIM4v7lalpha1Given   :1;
2217     unsigned  BSIM4v7lbeta0Given   :1;
2218     unsigned  BSIM4v7lvfbGiven   :1;
2219     unsigned  BSIM4v7lagidlGiven   :1;
2220     unsigned  BSIM4v7lbgidlGiven   :1;
2221     unsigned  BSIM4v7lcgidlGiven   :1;
2222     unsigned  BSIM4v7legidlGiven   :1;
2223     unsigned  BSIM4v7lfgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2224     unsigned  BSIM4v7lkgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2225     unsigned  BSIM4v7lrgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2226     unsigned  BSIM4v7lagislGiven   :1;
2227     unsigned  BSIM4v7lbgislGiven   :1;
2228     unsigned  BSIM4v7lcgislGiven   :1;
2229     unsigned  BSIM4v7legislGiven   :1;
2230     unsigned  BSIM4v7lfgislGiven   :1;    /* v4.7 New GIDL/GISL */
2231     unsigned  BSIM4v7lkgislGiven   :1;    /* v4.7 New GIDL/GISL */
2232     unsigned  BSIM4v7lrgislGiven   :1;    /* v4.7 New GIDL/GISL */
2233     unsigned  BSIM4v7laigcGiven   :1;
2234     unsigned  BSIM4v7lbigcGiven   :1;
2235     unsigned  BSIM4v7lcigcGiven   :1;
2236     unsigned  BSIM4v7laigsdGiven   :1;
2237     unsigned  BSIM4v7lbigsdGiven   :1;
2238     unsigned  BSIM4v7lcigsdGiven   :1;
2239     unsigned  BSIM4v7laigsGiven   :1;
2240     unsigned  BSIM4v7lbigsGiven   :1;
2241     unsigned  BSIM4v7lcigsGiven   :1;
2242     unsigned  BSIM4v7laigdGiven   :1;
2243     unsigned  BSIM4v7lbigdGiven   :1;
2244     unsigned  BSIM4v7lcigdGiven   :1;
2245     unsigned  BSIM4v7laigbaccGiven   :1;
2246     unsigned  BSIM4v7lbigbaccGiven   :1;
2247     unsigned  BSIM4v7lcigbaccGiven   :1;
2248     unsigned  BSIM4v7laigbinvGiven   :1;
2249     unsigned  BSIM4v7lbigbinvGiven   :1;
2250     unsigned  BSIM4v7lcigbinvGiven   :1;
2251     unsigned  BSIM4v7lnigcGiven   :1;
2252     unsigned  BSIM4v7lnigbinvGiven   :1;
2253     unsigned  BSIM4v7lnigbaccGiven   :1;
2254     unsigned  BSIM4v7lntoxGiven   :1;
2255     unsigned  BSIM4v7leigbinvGiven   :1;
2256     unsigned  BSIM4v7lpigcdGiven   :1;
2257     unsigned  BSIM4v7lpoxedgeGiven   :1;
2258     unsigned  BSIM4v7lxrcrg1Given   :1;
2259     unsigned  BSIM4v7lxrcrg2Given   :1;
2260     unsigned  BSIM4v7llambdaGiven    :1;
2261     unsigned  BSIM4v7lvtlGiven    :1;
2262     unsigned  BSIM4v7lxnGiven    :1;
2263     unsigned  BSIM4v7lvfbsdoffGiven    :1;
2264     unsigned  BSIM4v7ltvfbsdoffGiven    :1;
2265 
2266     /* CV model */
2267     unsigned  BSIM4v7lcgslGiven   :1;
2268     unsigned  BSIM4v7lcgdlGiven   :1;
2269     unsigned  BSIM4v7lckappasGiven   :1;
2270     unsigned  BSIM4v7lckappadGiven   :1;
2271     unsigned  BSIM4v7lcfGiven   :1;
2272     unsigned  BSIM4v7lclcGiven   :1;
2273     unsigned  BSIM4v7lcleGiven   :1;
2274     unsigned  BSIM4v7lvfbcvGiven   :1;
2275     unsigned  BSIM4v7lnoffGiven   :1;
2276     unsigned  BSIM4v7lvoffcvGiven :1;
2277     unsigned  BSIM4v7lacdeGiven   :1;
2278     unsigned  BSIM4v7lmoinGiven   :1;
2279 
2280     /* Width dependence */
2281     unsigned  BSIM4v7wcdscGiven   :1;
2282     unsigned  BSIM4v7wcdscbGiven   :1;
2283     unsigned  BSIM4v7wcdscdGiven   :1;
2284     unsigned  BSIM4v7wcitGiven   :1;
2285     unsigned  BSIM4v7wnfactorGiven   :1;
2286     unsigned  BSIM4v7wxjGiven   :1;
2287     unsigned  BSIM4v7wvsatGiven   :1;
2288     unsigned  BSIM4v7watGiven   :1;
2289     unsigned  BSIM4v7wa0Given   :1;
2290     unsigned  BSIM4v7wagsGiven   :1;
2291     unsigned  BSIM4v7wa1Given   :1;
2292     unsigned  BSIM4v7wa2Given   :1;
2293     unsigned  BSIM4v7wketaGiven   :1;
2294     unsigned  BSIM4v7wnsubGiven   :1;
2295     unsigned  BSIM4v7wndepGiven   :1;
2296     unsigned  BSIM4v7wnsdGiven    :1;
2297     unsigned  BSIM4v7wphinGiven   :1;
2298     unsigned  BSIM4v7wngateGiven   :1;
2299     unsigned  BSIM4v7wgamma1Given   :1;
2300     unsigned  BSIM4v7wgamma2Given   :1;
2301     unsigned  BSIM4v7wvbxGiven   :1;
2302     unsigned  BSIM4v7wvbmGiven   :1;
2303     unsigned  BSIM4v7wxtGiven   :1;
2304     unsigned  BSIM4v7wk1Given   :1;
2305     unsigned  BSIM4v7wkt1Given   :1;
2306     unsigned  BSIM4v7wkt1lGiven   :1;
2307     unsigned  BSIM4v7wkt2Given   :1;
2308     unsigned  BSIM4v7wk2Given   :1;
2309     unsigned  BSIM4v7wk3Given   :1;
2310     unsigned  BSIM4v7wk3bGiven   :1;
2311     unsigned  BSIM4v7ww0Given   :1;
2312     unsigned  BSIM4v7wdvtp0Given :1;
2313     unsigned  BSIM4v7wdvtp1Given :1;
2314     unsigned  BSIM4v7wdvtp2Given :1;        /* New DIBL/Rout */
2315     unsigned  BSIM4v7wdvtp3Given :1;
2316     unsigned  BSIM4v7wdvtp4Given :1;
2317     unsigned  BSIM4v7wdvtp5Given :1;
2318     unsigned  BSIM4v7wlpe0Given   :1;
2319     unsigned  BSIM4v7wlpebGiven   :1;
2320     unsigned  BSIM4v7wdvt0Given   :1;
2321     unsigned  BSIM4v7wdvt1Given   :1;
2322     unsigned  BSIM4v7wdvt2Given   :1;
2323     unsigned  BSIM4v7wdvt0wGiven   :1;
2324     unsigned  BSIM4v7wdvt1wGiven   :1;
2325     unsigned  BSIM4v7wdvt2wGiven   :1;
2326     unsigned  BSIM4v7wdroutGiven   :1;
2327     unsigned  BSIM4v7wdsubGiven   :1;
2328     unsigned  BSIM4v7wvth0Given   :1;
2329     unsigned  BSIM4v7wuaGiven   :1;
2330     unsigned  BSIM4v7wua1Given   :1;
2331     unsigned  BSIM4v7wubGiven   :1;
2332     unsigned  BSIM4v7wub1Given   :1;
2333     unsigned  BSIM4v7wucGiven   :1;
2334     unsigned  BSIM4v7wuc1Given   :1;
2335     unsigned  BSIM4v7wudGiven     :1;
2336     unsigned  BSIM4v7wud1Given     :1;
2337     unsigned  BSIM4v7wupGiven     :1;
2338     unsigned  BSIM4v7wlpGiven     :1;
2339     unsigned  BSIM4v7wu0Given   :1;
2340     unsigned  BSIM4v7weuGiven   :1;
2341         unsigned  BSIM4v7wucsGiven  :1;
2342     unsigned  BSIM4v7wuteGiven   :1;
2343         unsigned  BSIM4v7wucsteGiven  :1;
2344     unsigned  BSIM4v7wvoffGiven   :1;
2345     unsigned  BSIM4v7wtvoffGiven   :1;
2346     unsigned  BSIM4v7wtnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
2347     unsigned  BSIM4v7wteta0Given   :1;           /* v4.7 temp dep of leakage current */
2348     unsigned  BSIM4v7wtvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
2349     unsigned  BSIM4v7wminvGiven   :1;
2350     unsigned  BSIM4v7wminvcvGiven   :1;
2351     unsigned  BSIM4v7wrdswGiven   :1;
2352     unsigned  BSIM4v7wrswGiven   :1;
2353     unsigned  BSIM4v7wrdwGiven   :1;
2354     unsigned  BSIM4v7wprwgGiven   :1;
2355     unsigned  BSIM4v7wprwbGiven   :1;
2356     unsigned  BSIM4v7wprtGiven   :1;
2357     unsigned  BSIM4v7weta0Given   :1;
2358     unsigned  BSIM4v7wetabGiven   :1;
2359     unsigned  BSIM4v7wpclmGiven   :1;
2360     unsigned  BSIM4v7wpdibl1Given   :1;
2361     unsigned  BSIM4v7wpdibl2Given   :1;
2362     unsigned  BSIM4v7wpdiblbGiven   :1;
2363     unsigned  BSIM4v7wfproutGiven   :1;
2364     unsigned  BSIM4v7wpditsGiven    :1;
2365     unsigned  BSIM4v7wpditsdGiven    :1;
2366     unsigned  BSIM4v7wpscbe1Given   :1;
2367     unsigned  BSIM4v7wpscbe2Given   :1;
2368     unsigned  BSIM4v7wpvagGiven   :1;
2369     unsigned  BSIM4v7wdeltaGiven  :1;
2370     unsigned  BSIM4v7wwrGiven   :1;
2371     unsigned  BSIM4v7wdwgGiven   :1;
2372     unsigned  BSIM4v7wdwbGiven   :1;
2373     unsigned  BSIM4v7wb0Given   :1;
2374     unsigned  BSIM4v7wb1Given   :1;
2375     unsigned  BSIM4v7walpha0Given   :1;
2376     unsigned  BSIM4v7walpha1Given   :1;
2377     unsigned  BSIM4v7wbeta0Given   :1;
2378     unsigned  BSIM4v7wvfbGiven   :1;
2379     unsigned  BSIM4v7wagidlGiven   :1;
2380     unsigned  BSIM4v7wbgidlGiven   :1;
2381     unsigned  BSIM4v7wcgidlGiven   :1;
2382     unsigned  BSIM4v7wegidlGiven   :1;
2383     unsigned  BSIM4v7wfgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2384     unsigned  BSIM4v7wkgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2385     unsigned  BSIM4v7wrgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2386     unsigned  BSIM4v7wagislGiven   :1;
2387     unsigned  BSIM4v7wbgislGiven   :1;
2388     unsigned  BSIM4v7wcgislGiven   :1;
2389     unsigned  BSIM4v7wegislGiven   :1;
2390     unsigned  BSIM4v7wfgislGiven   :1;    /* v4.7 New GIDL/GISL */
2391     unsigned  BSIM4v7wkgislGiven   :1;    /* v4.7 New GIDL/GISL */
2392     unsigned  BSIM4v7wrgislGiven   :1;    /* v4.7 New GIDL/GISL */
2393     unsigned  BSIM4v7waigcGiven   :1;
2394     unsigned  BSIM4v7wbigcGiven   :1;
2395     unsigned  BSIM4v7wcigcGiven   :1;
2396     unsigned  BSIM4v7waigsdGiven   :1;
2397     unsigned  BSIM4v7wbigsdGiven   :1;
2398     unsigned  BSIM4v7wcigsdGiven   :1;
2399     unsigned  BSIM4v7waigsGiven   :1;
2400     unsigned  BSIM4v7wbigsGiven   :1;
2401     unsigned  BSIM4v7wcigsGiven   :1;
2402     unsigned  BSIM4v7waigdGiven   :1;
2403     unsigned  BSIM4v7wbigdGiven   :1;
2404     unsigned  BSIM4v7wcigdGiven   :1;
2405     unsigned  BSIM4v7waigbaccGiven   :1;
2406     unsigned  BSIM4v7wbigbaccGiven   :1;
2407     unsigned  BSIM4v7wcigbaccGiven   :1;
2408     unsigned  BSIM4v7waigbinvGiven   :1;
2409     unsigned  BSIM4v7wbigbinvGiven   :1;
2410     unsigned  BSIM4v7wcigbinvGiven   :1;
2411     unsigned  BSIM4v7wnigcGiven   :1;
2412     unsigned  BSIM4v7wnigbinvGiven   :1;
2413     unsigned  BSIM4v7wnigbaccGiven   :1;
2414     unsigned  BSIM4v7wntoxGiven   :1;
2415     unsigned  BSIM4v7weigbinvGiven   :1;
2416     unsigned  BSIM4v7wpigcdGiven   :1;
2417     unsigned  BSIM4v7wpoxedgeGiven   :1;
2418     unsigned  BSIM4v7wxrcrg1Given   :1;
2419     unsigned  BSIM4v7wxrcrg2Given   :1;
2420     unsigned  BSIM4v7wlambdaGiven    :1;
2421     unsigned  BSIM4v7wvtlGiven    :1;
2422     unsigned  BSIM4v7wxnGiven    :1;
2423     unsigned  BSIM4v7wvfbsdoffGiven    :1;
2424     unsigned  BSIM4v7wtvfbsdoffGiven    :1;
2425 
2426     /* CV model */
2427     unsigned  BSIM4v7wcgslGiven   :1;
2428     unsigned  BSIM4v7wcgdlGiven   :1;
2429     unsigned  BSIM4v7wckappasGiven   :1;
2430     unsigned  BSIM4v7wckappadGiven   :1;
2431     unsigned  BSIM4v7wcfGiven   :1;
2432     unsigned  BSIM4v7wclcGiven   :1;
2433     unsigned  BSIM4v7wcleGiven   :1;
2434     unsigned  BSIM4v7wvfbcvGiven   :1;
2435     unsigned  BSIM4v7wnoffGiven   :1;
2436     unsigned  BSIM4v7wvoffcvGiven :1;
2437     unsigned  BSIM4v7wacdeGiven   :1;
2438     unsigned  BSIM4v7wmoinGiven   :1;
2439 
2440     /* Cross-term dependence */
2441     unsigned  BSIM4v7pcdscGiven   :1;
2442     unsigned  BSIM4v7pcdscbGiven   :1;
2443     unsigned  BSIM4v7pcdscdGiven   :1;
2444     unsigned  BSIM4v7pcitGiven   :1;
2445     unsigned  BSIM4v7pnfactorGiven   :1;
2446     unsigned  BSIM4v7pxjGiven   :1;
2447     unsigned  BSIM4v7pvsatGiven   :1;
2448     unsigned  BSIM4v7patGiven   :1;
2449     unsigned  BSIM4v7pa0Given   :1;
2450     unsigned  BSIM4v7pagsGiven   :1;
2451     unsigned  BSIM4v7pa1Given   :1;
2452     unsigned  BSIM4v7pa2Given   :1;
2453     unsigned  BSIM4v7pketaGiven   :1;
2454     unsigned  BSIM4v7pnsubGiven   :1;
2455     unsigned  BSIM4v7pndepGiven   :1;
2456     unsigned  BSIM4v7pnsdGiven    :1;
2457     unsigned  BSIM4v7pphinGiven   :1;
2458     unsigned  BSIM4v7pngateGiven   :1;
2459     unsigned  BSIM4v7pgamma1Given   :1;
2460     unsigned  BSIM4v7pgamma2Given   :1;
2461     unsigned  BSIM4v7pvbxGiven   :1;
2462     unsigned  BSIM4v7pvbmGiven   :1;
2463     unsigned  BSIM4v7pxtGiven   :1;
2464     unsigned  BSIM4v7pk1Given   :1;
2465     unsigned  BSIM4v7pkt1Given   :1;
2466     unsigned  BSIM4v7pkt1lGiven   :1;
2467     unsigned  BSIM4v7pkt2Given   :1;
2468     unsigned  BSIM4v7pk2Given   :1;
2469     unsigned  BSIM4v7pk3Given   :1;
2470     unsigned  BSIM4v7pk3bGiven   :1;
2471     unsigned  BSIM4v7pw0Given   :1;
2472     unsigned  BSIM4v7pdvtp0Given :1;
2473     unsigned  BSIM4v7pdvtp1Given :1;
2474     unsigned  BSIM4v7pdvtp2Given :1;        /* New DIBL/Rout */
2475     unsigned  BSIM4v7pdvtp3Given :1;
2476     unsigned  BSIM4v7pdvtp4Given :1;
2477     unsigned  BSIM4v7pdvtp5Given :1;
2478     unsigned  BSIM4v7plpe0Given   :1;
2479     unsigned  BSIM4v7plpebGiven   :1;
2480     unsigned  BSIM4v7pdvt0Given   :1;
2481     unsigned  BSIM4v7pdvt1Given   :1;
2482     unsigned  BSIM4v7pdvt2Given   :1;
2483     unsigned  BSIM4v7pdvt0wGiven   :1;
2484     unsigned  BSIM4v7pdvt1wGiven   :1;
2485     unsigned  BSIM4v7pdvt2wGiven   :1;
2486     unsigned  BSIM4v7pdroutGiven   :1;
2487     unsigned  BSIM4v7pdsubGiven   :1;
2488     unsigned  BSIM4v7pvth0Given   :1;
2489     unsigned  BSIM4v7puaGiven   :1;
2490     unsigned  BSIM4v7pua1Given   :1;
2491     unsigned  BSIM4v7pubGiven   :1;
2492     unsigned  BSIM4v7pub1Given   :1;
2493     unsigned  BSIM4v7pucGiven   :1;
2494     unsigned  BSIM4v7puc1Given   :1;
2495     unsigned  BSIM4v7pudGiven     :1;
2496     unsigned  BSIM4v7pud1Given     :1;
2497     unsigned  BSIM4v7pupGiven     :1;
2498     unsigned  BSIM4v7plpGiven     :1;
2499     unsigned  BSIM4v7pu0Given   :1;
2500     unsigned  BSIM4v7peuGiven   :1;
2501         unsigned  BSIM4v7pucsGiven   :1;
2502     unsigned  BSIM4v7puteGiven   :1;
2503         unsigned  BSIM4v7pucsteGiven  :1;
2504     unsigned  BSIM4v7pvoffGiven   :1;
2505     unsigned  BSIM4v7ptvoffGiven   :1;
2506     unsigned  BSIM4v7ptnfactorGiven  :1;         /* v4.7 Temp dep of leakage current */
2507     unsigned  BSIM4v7pteta0Given   :1;           /* v4.7 temp dep of leakage current */
2508     unsigned  BSIM4v7ptvoffcvGiven   :1;        /* v4.7 temp dep of leakage current */
2509     unsigned  BSIM4v7pminvGiven   :1;
2510     unsigned  BSIM4v7pminvcvGiven   :1;
2511     unsigned  BSIM4v7prdswGiven   :1;
2512     unsigned  BSIM4v7prswGiven   :1;
2513     unsigned  BSIM4v7prdwGiven   :1;
2514     unsigned  BSIM4v7pprwgGiven   :1;
2515     unsigned  BSIM4v7pprwbGiven   :1;
2516     unsigned  BSIM4v7pprtGiven   :1;
2517     unsigned  BSIM4v7peta0Given   :1;
2518     unsigned  BSIM4v7petabGiven   :1;
2519     unsigned  BSIM4v7ppclmGiven   :1;
2520     unsigned  BSIM4v7ppdibl1Given   :1;
2521     unsigned  BSIM4v7ppdibl2Given   :1;
2522     unsigned  BSIM4v7ppdiblbGiven   :1;
2523     unsigned  BSIM4v7pfproutGiven   :1;
2524     unsigned  BSIM4v7ppditsGiven    :1;
2525     unsigned  BSIM4v7ppditsdGiven    :1;
2526     unsigned  BSIM4v7ppscbe1Given   :1;
2527     unsigned  BSIM4v7ppscbe2Given   :1;
2528     unsigned  BSIM4v7ppvagGiven   :1;
2529     unsigned  BSIM4v7pdeltaGiven  :1;
2530     unsigned  BSIM4v7pwrGiven   :1;
2531     unsigned  BSIM4v7pdwgGiven   :1;
2532     unsigned  BSIM4v7pdwbGiven   :1;
2533     unsigned  BSIM4v7pb0Given   :1;
2534     unsigned  BSIM4v7pb1Given   :1;
2535     unsigned  BSIM4v7palpha0Given   :1;
2536     unsigned  BSIM4v7palpha1Given   :1;
2537     unsigned  BSIM4v7pbeta0Given   :1;
2538     unsigned  BSIM4v7pvfbGiven   :1;
2539     unsigned  BSIM4v7pagidlGiven   :1;
2540     unsigned  BSIM4v7pbgidlGiven   :1;
2541     unsigned  BSIM4v7pcgidlGiven   :1;
2542     unsigned  BSIM4v7pegidlGiven   :1;
2543     unsigned  BSIM4v7pfgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2544     unsigned  BSIM4v7pkgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2545     unsigned  BSIM4v7prgidlGiven   :1;    /* v4.7 New GIDL/GISL */
2546     unsigned  BSIM4v7pagislGiven   :1;
2547     unsigned  BSIM4v7pbgislGiven   :1;
2548     unsigned  BSIM4v7pcgislGiven   :1;
2549     unsigned  BSIM4v7pegislGiven   :1;
2550     unsigned  BSIM4v7pfgislGiven   :1;    /* v4.7 New GIDL/GISL */
2551     unsigned  BSIM4v7pkgislGiven   :1;    /* v4.7 New GIDL/GISL */
2552     unsigned  BSIM4v7prgislGiven   :1;    /* v4.7 New GIDL/GISL */
2553     unsigned  BSIM4v7paigcGiven   :1;
2554     unsigned  BSIM4v7pbigcGiven   :1;
2555     unsigned  BSIM4v7pcigcGiven   :1;
2556     unsigned  BSIM4v7paigsdGiven   :1;
2557     unsigned  BSIM4v7pbigsdGiven   :1;
2558     unsigned  BSIM4v7pcigsdGiven   :1;
2559     unsigned  BSIM4v7paigsGiven   :1;
2560     unsigned  BSIM4v7pbigsGiven   :1;
2561     unsigned  BSIM4v7pcigsGiven   :1;
2562     unsigned  BSIM4v7paigdGiven   :1;
2563     unsigned  BSIM4v7pbigdGiven   :1;
2564     unsigned  BSIM4v7pcigdGiven   :1;
2565     unsigned  BSIM4v7paigbaccGiven   :1;
2566     unsigned  BSIM4v7pbigbaccGiven   :1;
2567     unsigned  BSIM4v7pcigbaccGiven   :1;
2568     unsigned  BSIM4v7paigbinvGiven   :1;
2569     unsigned  BSIM4v7pbigbinvGiven   :1;
2570     unsigned  BSIM4v7pcigbinvGiven   :1;
2571     unsigned  BSIM4v7pnigcGiven   :1;
2572     unsigned  BSIM4v7pnigbinvGiven   :1;
2573     unsigned  BSIM4v7pnigbaccGiven   :1;
2574     unsigned  BSIM4v7pntoxGiven   :1;
2575     unsigned  BSIM4v7peigbinvGiven   :1;
2576     unsigned  BSIM4v7ppigcdGiven   :1;
2577     unsigned  BSIM4v7ppoxedgeGiven   :1;
2578     unsigned  BSIM4v7pxrcrg1Given   :1;
2579     unsigned  BSIM4v7pxrcrg2Given   :1;
2580     unsigned  BSIM4v7plambdaGiven    :1;
2581     unsigned  BSIM4v7pvtlGiven    :1;
2582     unsigned  BSIM4v7pxnGiven    :1;
2583     unsigned  BSIM4v7pvfbsdoffGiven    :1;
2584     unsigned  BSIM4v7ptvfbsdoffGiven    :1;
2585 
2586     /* CV model */
2587     unsigned  BSIM4v7pcgslGiven   :1;
2588     unsigned  BSIM4v7pcgdlGiven   :1;
2589     unsigned  BSIM4v7pckappasGiven   :1;
2590     unsigned  BSIM4v7pckappadGiven   :1;
2591     unsigned  BSIM4v7pcfGiven   :1;
2592     unsigned  BSIM4v7pclcGiven   :1;
2593     unsigned  BSIM4v7pcleGiven   :1;
2594     unsigned  BSIM4v7pvfbcvGiven   :1;
2595     unsigned  BSIM4v7pnoffGiven   :1;
2596     unsigned  BSIM4v7pvoffcvGiven :1;
2597     unsigned  BSIM4v7pacdeGiven   :1;
2598     unsigned  BSIM4v7pmoinGiven   :1;
2599 
2600     unsigned  BSIM4v7useFringeGiven   :1;
2601 
2602     unsigned  BSIM4v7tnomGiven   :1;
2603     unsigned  BSIM4v7cgsoGiven   :1;
2604     unsigned  BSIM4v7cgdoGiven   :1;
2605     unsigned  BSIM4v7cgboGiven   :1;
2606     unsigned  BSIM4v7xpartGiven   :1;
2607     unsigned  BSIM4v7sheetResistanceGiven   :1;
2608 
2609     unsigned  BSIM4v7SjctSatCurDensityGiven   :1;
2610     unsigned  BSIM4v7SjctSidewallSatCurDensityGiven   :1;
2611     unsigned  BSIM4v7SjctGateSidewallSatCurDensityGiven   :1;
2612     unsigned  BSIM4v7SbulkJctPotentialGiven   :1;
2613     unsigned  BSIM4v7SbulkJctBotGradingCoeffGiven   :1;
2614     unsigned  BSIM4v7SsidewallJctPotentialGiven   :1;
2615     unsigned  BSIM4v7SGatesidewallJctPotentialGiven   :1;
2616     unsigned  BSIM4v7SbulkJctSideGradingCoeffGiven   :1;
2617     unsigned  BSIM4v7SunitAreaJctCapGiven   :1;
2618     unsigned  BSIM4v7SunitLengthSidewallJctCapGiven   :1;
2619     unsigned  BSIM4v7SbulkJctGateSideGradingCoeffGiven   :1;
2620     unsigned  BSIM4v7SunitLengthGateSidewallJctCapGiven   :1;
2621     unsigned  BSIM4v7SjctEmissionCoeffGiven :1;
2622     unsigned  BSIM4v7SjctTempExponentGiven        :1;
2623 
2624     unsigned  BSIM4v7DjctSatCurDensityGiven   :1;
2625     unsigned  BSIM4v7DjctSidewallSatCurDensityGiven   :1;
2626     unsigned  BSIM4v7DjctGateSidewallSatCurDensityGiven   :1;
2627     unsigned  BSIM4v7DbulkJctPotentialGiven   :1;
2628     unsigned  BSIM4v7DbulkJctBotGradingCoeffGiven   :1;
2629     unsigned  BSIM4v7DsidewallJctPotentialGiven   :1;
2630     unsigned  BSIM4v7DGatesidewallJctPotentialGiven   :1;
2631     unsigned  BSIM4v7DbulkJctSideGradingCoeffGiven   :1;
2632     unsigned  BSIM4v7DunitAreaJctCapGiven   :1;
2633     unsigned  BSIM4v7DunitLengthSidewallJctCapGiven   :1;
2634     unsigned  BSIM4v7DbulkJctGateSideGradingCoeffGiven   :1;
2635     unsigned  BSIM4v7DunitLengthGateSidewallJctCapGiven   :1;
2636     unsigned  BSIM4v7DjctEmissionCoeffGiven :1;
2637     unsigned  BSIM4v7DjctTempExponentGiven :1;
2638 
2639     unsigned  BSIM4v7oxideTrapDensityAGiven  :1;
2640     unsigned  BSIM4v7oxideTrapDensityBGiven  :1;
2641     unsigned  BSIM4v7oxideTrapDensityCGiven  :1;
2642     unsigned  BSIM4v7emGiven  :1;
2643     unsigned  BSIM4v7efGiven  :1;
2644     unsigned  BSIM4v7afGiven  :1;
2645     unsigned  BSIM4v7kfGiven  :1;
2646 
2647     unsigned  BSIM4v7vgsMaxGiven  :1;
2648     unsigned  BSIM4v7vgdMaxGiven  :1;
2649     unsigned  BSIM4v7vgbMaxGiven  :1;
2650     unsigned  BSIM4v7vdsMaxGiven  :1;
2651     unsigned  BSIM4v7vbsMaxGiven  :1;
2652     unsigned  BSIM4v7vbdMaxGiven  :1;
2653     unsigned  BSIM4v7vgsrMaxGiven  :1;
2654     unsigned  BSIM4v7vgdrMaxGiven  :1;
2655     unsigned  BSIM4v7vgbrMaxGiven  :1;
2656     unsigned  BSIM4v7vbsrMaxGiven  :1;
2657     unsigned  BSIM4v7vbdrMaxGiven  :1;
2658 
2659     unsigned  BSIM4v7LintGiven   :1;
2660     unsigned  BSIM4v7LlGiven   :1;
2661     unsigned  BSIM4v7LlcGiven   :1;
2662     unsigned  BSIM4v7LlnGiven   :1;
2663     unsigned  BSIM4v7LwGiven   :1;
2664     unsigned  BSIM4v7LwcGiven   :1;
2665     unsigned  BSIM4v7LwnGiven   :1;
2666     unsigned  BSIM4v7LwlGiven   :1;
2667     unsigned  BSIM4v7LwlcGiven   :1;
2668     unsigned  BSIM4v7LminGiven   :1;
2669     unsigned  BSIM4v7LmaxGiven   :1;
2670 
2671     unsigned  BSIM4v7WintGiven   :1;
2672     unsigned  BSIM4v7WlGiven   :1;
2673     unsigned  BSIM4v7WlcGiven   :1;
2674     unsigned  BSIM4v7WlnGiven   :1;
2675     unsigned  BSIM4v7WwGiven   :1;
2676     unsigned  BSIM4v7WwcGiven   :1;
2677     unsigned  BSIM4v7WwnGiven   :1;
2678     unsigned  BSIM4v7WwlGiven   :1;
2679     unsigned  BSIM4v7WwlcGiven   :1;
2680     unsigned  BSIM4v7WminGiven   :1;
2681     unsigned  BSIM4v7WmaxGiven   :1;
2682 
2683     /* added for stress effect */
2684     unsigned  BSIM4v7sarefGiven   :1;
2685     unsigned  BSIM4v7sbrefGiven   :1;
2686     unsigned  BSIM4v7wlodGiven  :1;
2687     unsigned  BSIM4v7ku0Given   :1;
2688     unsigned  BSIM4v7kvsatGiven  :1;
2689     unsigned  BSIM4v7kvth0Given  :1;
2690     unsigned  BSIM4v7tku0Given   :1;
2691     unsigned  BSIM4v7llodku0Given   :1;
2692     unsigned  BSIM4v7wlodku0Given   :1;
2693     unsigned  BSIM4v7llodvthGiven   :1;
2694     unsigned  BSIM4v7wlodvthGiven   :1;
2695     unsigned  BSIM4v7lku0Given   :1;
2696     unsigned  BSIM4v7wku0Given   :1;
2697     unsigned  BSIM4v7pku0Given   :1;
2698     unsigned  BSIM4v7lkvth0Given   :1;
2699     unsigned  BSIM4v7wkvth0Given   :1;
2700     unsigned  BSIM4v7pkvth0Given   :1;
2701     unsigned  BSIM4v7stk2Given   :1;
2702     unsigned  BSIM4v7lodk2Given  :1;
2703     unsigned  BSIM4v7steta0Given :1;
2704     unsigned  BSIM4v7lodeta0Given :1;
2705 
2706     unsigned  BSIM4v7webGiven   :1;
2707     unsigned  BSIM4v7wecGiven   :1;
2708     unsigned  BSIM4v7kvth0weGiven   :1;
2709     unsigned  BSIM4v7k2weGiven   :1;
2710     unsigned  BSIM4v7ku0weGiven   :1;
2711     unsigned  BSIM4v7screfGiven   :1;
2712     unsigned  BSIM4v7wpemodGiven   :1;
2713     unsigned  BSIM4v7lkvth0weGiven   :1;
2714     unsigned  BSIM4v7lk2weGiven   :1;
2715     unsigned  BSIM4v7lku0weGiven   :1;
2716     unsigned  BSIM4v7wkvth0weGiven   :1;
2717     unsigned  BSIM4v7wk2weGiven   :1;
2718     unsigned  BSIM4v7wku0weGiven   :1;
2719     unsigned  BSIM4v7pkvth0weGiven   :1;
2720     unsigned  BSIM4v7pk2weGiven   :1;
2721     unsigned  BSIM4v7pku0weGiven   :1;
2722 
2723 
2724 } BSIM4v7model;
2725 
2726 
2727 #ifndef NMOS
2728 #define NMOS 1
2729 #define PMOS -1
2730 #endif /*NMOS*/
2731 
2732 
2733 /* Instance parameters */
2734 #define BSIM4v7_W                   1
2735 #define BSIM4v7_L                   2
2736 #define BSIM4v7_AS                  3
2737 #define BSIM4v7_AD                  4
2738 #define BSIM4v7_PS                  5
2739 #define BSIM4v7_PD                  6
2740 #define BSIM4v7_NRS                 7
2741 #define BSIM4v7_NRD                 8
2742 #define BSIM4v7_OFF                 9
2743 #define BSIM4v7_IC                  10
2744 #define BSIM4v7_IC_VDS              11
2745 #define BSIM4v7_IC_VGS              12
2746 #define BSIM4v7_IC_VBS              13
2747 #define BSIM4v7_TRNQSMOD            14
2748 #define BSIM4v7_RBODYMOD            15
2749 #define BSIM4v7_RGATEMOD            16
2750 #define BSIM4v7_GEOMOD              17
2751 #define BSIM4v7_RGEOMOD             18
2752 #define BSIM4v7_NF                  19
2753 #define BSIM4v7_MIN                 20
2754 #define BSIM4v7_ACNQSMOD            22
2755 #define BSIM4v7_RBDB                23
2756 #define BSIM4v7_RBSB                24
2757 #define BSIM4v7_RBPB                25
2758 #define BSIM4v7_RBPS                26
2759 #define BSIM4v7_RBPD                27
2760 #define BSIM4v7_SA                  28
2761 #define BSIM4v7_SB                  29
2762 #define BSIM4v7_SD                  30
2763 #define BSIM4v7_DELVTO              31
2764 #define BSIM4v7_XGW                 32
2765 #define BSIM4v7_NGCON               33
2766 #define BSIM4v7_SCA                 34
2767 #define BSIM4v7_SCB                 35
2768 #define BSIM4v7_SCC                 36
2769 #define BSIM4v7_SC                  37
2770 #define BSIM4v7_M                   38
2771 #define BSIM4v7_MULU0               39
2772 #define BSIM4v7_WNFLAG              40
2773 
2774 /* Global parameters */
2775 #define BSIM4v7_MOD_TEMPEOT         65
2776 #define BSIM4v7_MOD_LEFFEOT         66
2777 #define BSIM4v7_MOD_WEFFEOT         67
2778 #define BSIM4v7_MOD_UCSTE           68
2779 #define BSIM4v7_MOD_LUCSTE          69
2780 #define BSIM4v7_MOD_WUCSTE          70
2781 #define BSIM4v7_MOD_PUCSTE          71
2782 #define BSIM4v7_MOD_UCS             72
2783 #define BSIM4v7_MOD_LUCS            73
2784 #define BSIM4v7_MOD_WUCS            74
2785 #define BSIM4v7_MOD_PUCS            75
2786 #define BSIM4v7_MOD_CVCHARGEMOD     76
2787 #define BSIM4v7_MOD_ADOS            77
2788 #define BSIM4v7_MOD_BDOS            78
2789 #define BSIM4v7_MOD_TEMPMOD         79
2790 #define BSIM4v7_MOD_MTRLMOD         80
2791 #define BSIM4v7_MOD_IGCMOD          81
2792 #define BSIM4v7_MOD_IGBMOD          82
2793 #define BSIM4v7_MOD_ACNQSMOD        83
2794 #define BSIM4v7_MOD_FNOIMOD         84
2795 #define BSIM4v7_MOD_RDSMOD          85
2796 #define BSIM4v7_MOD_DIOMOD          86
2797 #define BSIM4v7_MOD_PERMOD          87
2798 #define BSIM4v7_MOD_GEOMOD          88
2799 #define BSIM4v7_MOD_RGEOMOD         89
2800 #define BSIM4v7_MOD_RGATEMOD        90
2801 #define BSIM4v7_MOD_RBODYMOD        91
2802 #define BSIM4v7_MOD_CAPMOD          92
2803 #define BSIM4v7_MOD_TRNQSMOD        93
2804 #define BSIM4v7_MOD_MOBMOD          94
2805 #define BSIM4v7_MOD_TNOIMOD         95
2806 #define BSIM4v7_MOD_EOT             96
2807 #define BSIM4v7_MOD_VDDEOT          97
2808 #define BSIM4v7_MOD_TOXE            98
2809 #define BSIM4v7_MOD_CDSC            99
2810 #define BSIM4v7_MOD_CDSCB           100
2811 #define BSIM4v7_MOD_CIT             101
2812 #define BSIM4v7_MOD_NFACTOR         102
2813 #define BSIM4v7_MOD_XJ              103
2814 #define BSIM4v7_MOD_VSAT            104
2815 #define BSIM4v7_MOD_AT              105
2816 #define BSIM4v7_MOD_A0              106
2817 #define BSIM4v7_MOD_A1              107
2818 #define BSIM4v7_MOD_A2              108
2819 #define BSIM4v7_MOD_KETA            109
2820 #define BSIM4v7_MOD_NSUB            110
2821 #define BSIM4v7_MOD_PHIG            111
2822 #define BSIM4v7_MOD_EPSRGATE        112
2823 #define BSIM4v7_MOD_EASUB           113
2824 #define BSIM4v7_MOD_EPSRSUB         114
2825 #define BSIM4v7_MOD_NI0SUB          115
2826 #define BSIM4v7_MOD_BG0SUB          116
2827 #define BSIM4v7_MOD_TBGASUB         117
2828 #define BSIM4v7_MOD_TBGBSUB         118
2829 #define BSIM4v7_MOD_NDEP            119
2830 #define BSIM4v7_MOD_NGATE           120
2831 #define BSIM4v7_MOD_GAMMA1          121
2832 #define BSIM4v7_MOD_GAMMA2          122
2833 #define BSIM4v7_MOD_VBX             123
2834 #define BSIM4v7_MOD_BINUNIT         124
2835 #define BSIM4v7_MOD_VBM             125
2836 #define BSIM4v7_MOD_XT              126
2837 #define BSIM4v7_MOD_K1              129
2838 #define BSIM4v7_MOD_KT1             130
2839 #define BSIM4v7_MOD_KT1L            131
2840 #define BSIM4v7_MOD_K2              132
2841 #define BSIM4v7_MOD_KT2             133
2842 #define BSIM4v7_MOD_K3              134
2843 #define BSIM4v7_MOD_K3B             135
2844 #define BSIM4v7_MOD_W0              136
2845 #define BSIM4v7_MOD_LPE0            137
2846 #define BSIM4v7_MOD_DVT0            138
2847 #define BSIM4v7_MOD_DVT1            139
2848 #define BSIM4v7_MOD_DVT2            140
2849 #define BSIM4v7_MOD_DVT0W           141
2850 #define BSIM4v7_MOD_DVT1W           142
2851 #define BSIM4v7_MOD_DVT2W           143
2852 #define BSIM4v7_MOD_DROUT           144
2853 #define BSIM4v7_MOD_DSUB            145
2854 #define BSIM4v7_MOD_VTH0            146
2855 #define BSIM4v7_MOD_UA              147
2856 #define BSIM4v7_MOD_UA1             148
2857 #define BSIM4v7_MOD_UB              149
2858 #define BSIM4v7_MOD_UB1             150
2859 #define BSIM4v7_MOD_UC              151
2860 #define BSIM4v7_MOD_UC1             152
2861 #define BSIM4v7_MOD_U0              153
2862 #define BSIM4v7_MOD_UTE             154
2863 #define BSIM4v7_MOD_VOFF            155
2864 #define BSIM4v7_MOD_DELTA           156
2865 #define BSIM4v7_MOD_RDSW            157
2866 #define BSIM4v7_MOD_PRT             158
2867 #define BSIM4v7_MOD_LDD             159
2868 #define BSIM4v7_MOD_ETA             160
2869 #define BSIM4v7_MOD_ETA0            161
2870 #define BSIM4v7_MOD_ETAB            162
2871 #define BSIM4v7_MOD_PCLM            163
2872 #define BSIM4v7_MOD_PDIBL1          164
2873 #define BSIM4v7_MOD_PDIBL2          165
2874 #define BSIM4v7_MOD_PSCBE1          166
2875 #define BSIM4v7_MOD_PSCBE2          167
2876 #define BSIM4v7_MOD_PVAG            168
2877 #define BSIM4v7_MOD_WR              169
2878 #define BSIM4v7_MOD_DWG             170
2879 #define BSIM4v7_MOD_DWB             171
2880 #define BSIM4v7_MOD_B0              172
2881 #define BSIM4v7_MOD_B1              173
2882 #define BSIM4v7_MOD_ALPHA0          174
2883 #define BSIM4v7_MOD_BETA0           175
2884 #define BSIM4v7_MOD_PDIBLB          178
2885 #define BSIM4v7_MOD_PRWG            179
2886 #define BSIM4v7_MOD_PRWB            180
2887 #define BSIM4v7_MOD_CDSCD           181
2888 #define BSIM4v7_MOD_AGS             182
2889 #define BSIM4v7_MOD_FRINGE          184
2890 #define BSIM4v7_MOD_CGSL            186
2891 #define BSIM4v7_MOD_CGDL            187
2892 #define BSIM4v7_MOD_CKAPPAS         188
2893 #define BSIM4v7_MOD_CF              189
2894 #define BSIM4v7_MOD_CLC             190
2895 #define BSIM4v7_MOD_CLE             191
2896 #define BSIM4v7_MOD_PARAMCHK        192
2897 #define BSIM4v7_MOD_VERSION         193
2898 #define BSIM4v7_MOD_VFBCV           194
2899 #define BSIM4v7_MOD_ACDE            195
2900 #define BSIM4v7_MOD_MOIN            196
2901 #define BSIM4v7_MOD_NOFF            197
2902 #define BSIM4v7_MOD_IJTHDFWD        198
2903 #define BSIM4v7_MOD_ALPHA1          199
2904 #define BSIM4v7_MOD_VFB             200
2905 #define BSIM4v7_MOD_TOXM            201
2906 #define BSIM4v7_MOD_TCJ             202
2907 #define BSIM4v7_MOD_TCJSW           203
2908 #define BSIM4v7_MOD_TCJSWG          204
2909 #define BSIM4v7_MOD_TPB             205
2910 #define BSIM4v7_MOD_TPBSW           206
2911 #define BSIM4v7_MOD_TPBSWG          207
2912 #define BSIM4v7_MOD_VOFFCV          208
2913 #define BSIM4v7_MOD_GBMIN           209
2914 #define BSIM4v7_MOD_RBDB            210
2915 #define BSIM4v7_MOD_RBSB            211
2916 #define BSIM4v7_MOD_RBPB            212
2917 #define BSIM4v7_MOD_RBPS            213
2918 #define BSIM4v7_MOD_RBPD            214
2919 #define BSIM4v7_MOD_DMCG            215
2920 #define BSIM4v7_MOD_DMCI            216
2921 #define BSIM4v7_MOD_DMDG            217
2922 #define BSIM4v7_MOD_XGW             218
2923 #define BSIM4v7_MOD_XGL             219
2924 #define BSIM4v7_MOD_RSHG            220
2925 #define BSIM4v7_MOD_NGCON           221
2926 #define BSIM4v7_MOD_AGIDL           222
2927 #define BSIM4v7_MOD_BGIDL           223
2928 #define BSIM4v7_MOD_EGIDL           224
2929 #define BSIM4v7_MOD_IJTHSFWD        225
2930 #define BSIM4v7_MOD_XJBVD           226
2931 #define BSIM4v7_MOD_XJBVS           227
2932 #define BSIM4v7_MOD_BVD             228
2933 #define BSIM4v7_MOD_BVS             229
2934 #define BSIM4v7_MOD_TOXP            230
2935 #define BSIM4v7_MOD_DTOX            231
2936 #define BSIM4v7_MOD_XRCRG1          232
2937 #define BSIM4v7_MOD_XRCRG2          233
2938 #define BSIM4v7_MOD_EU              234
2939 #define BSIM4v7_MOD_IJTHSREV        235
2940 #define BSIM4v7_MOD_IJTHDREV        236
2941 #define BSIM4v7_MOD_MINV            237
2942 #define BSIM4v7_MOD_VOFFL           238
2943 #define BSIM4v7_MOD_PDITS           239
2944 #define BSIM4v7_MOD_PDITSD          240
2945 #define BSIM4v7_MOD_PDITSL          241
2946 #define BSIM4v7_MOD_TNOIA           242
2947 #define BSIM4v7_MOD_TNOIB           243
2948 #define BSIM4v7_MOD_NTNOI           244
2949 #define BSIM4v7_MOD_FPROUT          245
2950 #define BSIM4v7_MOD_LPEB            246
2951 #define BSIM4v7_MOD_DVTP0           247
2952 #define BSIM4v7_MOD_DVTP1           248
2953 #define BSIM4v7_MOD_CGIDL           249
2954 #define BSIM4v7_MOD_PHIN            250
2955 #define BSIM4v7_MOD_RDSWMIN         251
2956 #define BSIM4v7_MOD_RSW             252
2957 #define BSIM4v7_MOD_RDW             253
2958 #define BSIM4v7_MOD_RDWMIN          254
2959 #define BSIM4v7_MOD_RSWMIN          255
2960 #define BSIM4v7_MOD_NSD             256
2961 #define BSIM4v7_MOD_CKAPPAD         257
2962 #define BSIM4v7_MOD_DMCGT           258
2963 #define BSIM4v7_MOD_AIGC            259
2964 #define BSIM4v7_MOD_BIGC            260
2965 #define BSIM4v7_MOD_CIGC            261
2966 #define BSIM4v7_MOD_AIGBACC         262
2967 #define BSIM4v7_MOD_BIGBACC         263
2968 #define BSIM4v7_MOD_CIGBACC         264
2969 #define BSIM4v7_MOD_AIGBINV         265
2970 #define BSIM4v7_MOD_BIGBINV         266
2971 #define BSIM4v7_MOD_CIGBINV         267
2972 #define BSIM4v7_MOD_NIGC            268
2973 #define BSIM4v7_MOD_NIGBACC         269
2974 #define BSIM4v7_MOD_NIGBINV         270
2975 #define BSIM4v7_MOD_NTOX            271
2976 #define BSIM4v7_MOD_TOXREF          272
2977 #define BSIM4v7_MOD_EIGBINV         273
2978 #define BSIM4v7_MOD_PIGCD           274
2979 #define BSIM4v7_MOD_POXEDGE         275
2980 #define BSIM4v7_MOD_EPSROX          276
2981 #define BSIM4v7_MOD_AIGSD           277
2982 #define BSIM4v7_MOD_BIGSD           278
2983 #define BSIM4v7_MOD_CIGSD           279
2984 #define BSIM4v7_MOD_JSWGS           280
2985 #define BSIM4v7_MOD_JSWGD           281
2986 #define BSIM4v7_MOD_LAMBDA          282
2987 #define BSIM4v7_MOD_VTL             283
2988 #define BSIM4v7_MOD_LC              284
2989 #define BSIM4v7_MOD_XN              285
2990 #define BSIM4v7_MOD_RNOIA           286
2991 #define BSIM4v7_MOD_RNOIB           287
2992 #define BSIM4v7_MOD_VFBSDOFF        288
2993 #define BSIM4v7_MOD_LINTNOI         289
2994 #define BSIM4v7_MOD_UD              290
2995 #define BSIM4v7_MOD_UD1             291
2996 #define BSIM4v7_MOD_UP              292
2997 #define BSIM4v7_MOD_LP              293
2998 #define BSIM4v7_MOD_TVOFF           294
2999 #define BSIM4v7_MOD_TVFBSDOFF       295
3000 #define BSIM4v7_MOD_MINVCV          296
3001 #define BSIM4v7_MOD_VOFFCVL         297
3002 #define BSIM4v7_MOD_MTRLCOMPATMOD   380
3003 
3004 /* Length dependence */
3005 #define BSIM4v7_MOD_LCDSC            301
3006 #define BSIM4v7_MOD_LCDSCB           302
3007 #define BSIM4v7_MOD_LCIT             303
3008 #define BSIM4v7_MOD_LNFACTOR         304
3009 #define BSIM4v7_MOD_LXJ              305
3010 #define BSIM4v7_MOD_LVSAT            306
3011 #define BSIM4v7_MOD_LAT              307
3012 #define BSIM4v7_MOD_LA0              308
3013 #define BSIM4v7_MOD_LA1              309
3014 #define BSIM4v7_MOD_LA2              310
3015 #define BSIM4v7_MOD_LKETA            311
3016 #define BSIM4v7_MOD_LNSUB            312
3017 #define BSIM4v7_MOD_LNDEP            313
3018 #define BSIM4v7_MOD_LNGATE           315
3019 #define BSIM4v7_MOD_LGAMMA1          316
3020 #define BSIM4v7_MOD_LGAMMA2          317
3021 #define BSIM4v7_MOD_LVBX             318
3022 #define BSIM4v7_MOD_LVBM             320
3023 #define BSIM4v7_MOD_LXT              322
3024 #define BSIM4v7_MOD_LK1              325
3025 #define BSIM4v7_MOD_LKT1             326
3026 #define BSIM4v7_MOD_LKT1L            327
3027 #define BSIM4v7_MOD_LK2              328
3028 #define BSIM4v7_MOD_LKT2             329
3029 #define BSIM4v7_MOD_LK3              330
3030 #define BSIM4v7_MOD_LK3B             331
3031 #define BSIM4v7_MOD_LW0              332
3032 #define BSIM4v7_MOD_LLPE0            333
3033 #define BSIM4v7_MOD_LDVT0            334
3034 #define BSIM4v7_MOD_LDVT1            335
3035 #define BSIM4v7_MOD_LDVT2            336
3036 #define BSIM4v7_MOD_LDVT0W           337
3037 #define BSIM4v7_MOD_LDVT1W           338
3038 #define BSIM4v7_MOD_LDVT2W           339
3039 #define BSIM4v7_MOD_LDROUT           340
3040 #define BSIM4v7_MOD_LDSUB            341
3041 #define BSIM4v7_MOD_LVTH0            342
3042 #define BSIM4v7_MOD_LUA              343
3043 #define BSIM4v7_MOD_LUA1             344
3044 #define BSIM4v7_MOD_LUB              345
3045 #define BSIM4v7_MOD_LUB1             346
3046 #define BSIM4v7_MOD_LUC              347
3047 #define BSIM4v7_MOD_LUC1             348
3048 #define BSIM4v7_MOD_LU0              349
3049 #define BSIM4v7_MOD_LUTE             350
3050 #define BSIM4v7_MOD_LVOFF            351
3051 #define BSIM4v7_MOD_LDELTA           352
3052 #define BSIM4v7_MOD_LRDSW            353
3053 #define BSIM4v7_MOD_LPRT             354
3054 #define BSIM4v7_MOD_LLDD             355
3055 #define BSIM4v7_MOD_LETA             356
3056 #define BSIM4v7_MOD_LETA0            357
3057 #define BSIM4v7_MOD_LETAB            358
3058 #define BSIM4v7_MOD_LPCLM            359
3059 #define BSIM4v7_MOD_LPDIBL1          360
3060 #define BSIM4v7_MOD_LPDIBL2          361
3061 #define BSIM4v7_MOD_LPSCBE1          362
3062 #define BSIM4v7_MOD_LPSCBE2          363
3063 #define BSIM4v7_MOD_LPVAG            364
3064 #define BSIM4v7_MOD_LWR              365
3065 #define BSIM4v7_MOD_LDWG             366
3066 #define BSIM4v7_MOD_LDWB             367
3067 #define BSIM4v7_MOD_LB0              368
3068 #define BSIM4v7_MOD_LB1              369
3069 #define BSIM4v7_MOD_LALPHA0          370
3070 #define BSIM4v7_MOD_LBETA0           371
3071 #define BSIM4v7_MOD_LPDIBLB          374
3072 #define BSIM4v7_MOD_LPRWG            375
3073 #define BSIM4v7_MOD_LPRWB            376
3074 #define BSIM4v7_MOD_LCDSCD           377
3075 #define BSIM4v7_MOD_LAGS             378
3076 
3077 #define BSIM4v7_MOD_LFRINGE          381
3078 #define BSIM4v7_MOD_LCGSL            383
3079 #define BSIM4v7_MOD_LCGDL            384
3080 #define BSIM4v7_MOD_LCKAPPAS         385
3081 #define BSIM4v7_MOD_LCF              386
3082 #define BSIM4v7_MOD_LCLC             387
3083 #define BSIM4v7_MOD_LCLE             388
3084 #define BSIM4v7_MOD_LVFBCV           389
3085 #define BSIM4v7_MOD_LACDE            390
3086 #define BSIM4v7_MOD_LMOIN            391
3087 #define BSIM4v7_MOD_LNOFF            392
3088 #define BSIM4v7_MOD_LALPHA1          394
3089 #define BSIM4v7_MOD_LVFB             395
3090 #define BSIM4v7_MOD_LVOFFCV          396
3091 #define BSIM4v7_MOD_LAGIDL           397
3092 #define BSIM4v7_MOD_LBGIDL           398
3093 #define BSIM4v7_MOD_LEGIDL           399
3094 #define BSIM4v7_MOD_LXRCRG1          400
3095 #define BSIM4v7_MOD_LXRCRG2          401
3096 #define BSIM4v7_MOD_LEU              402
3097 #define BSIM4v7_MOD_LMINV            403
3098 #define BSIM4v7_MOD_LPDITS           404
3099 #define BSIM4v7_MOD_LPDITSD          405
3100 #define BSIM4v7_MOD_LFPROUT          406
3101 #define BSIM4v7_MOD_LLPEB            407
3102 #define BSIM4v7_MOD_LDVTP0           408
3103 #define BSIM4v7_MOD_LDVTP1           409
3104 #define BSIM4v7_MOD_LCGIDL           410
3105 #define BSIM4v7_MOD_LPHIN            411
3106 #define BSIM4v7_MOD_LRSW             412
3107 #define BSIM4v7_MOD_LRDW             413
3108 #define BSIM4v7_MOD_LNSD             414
3109 #define BSIM4v7_MOD_LCKAPPAD         415
3110 #define BSIM4v7_MOD_LAIGC            416
3111 #define BSIM4v7_MOD_LBIGC            417
3112 #define BSIM4v7_MOD_LCIGC            418
3113 #define BSIM4v7_MOD_LAIGBACC         419
3114 #define BSIM4v7_MOD_LBIGBACC         420
3115 #define BSIM4v7_MOD_LCIGBACC         421
3116 #define BSIM4v7_MOD_LAIGBINV         422
3117 #define BSIM4v7_MOD_LBIGBINV         423
3118 #define BSIM4v7_MOD_LCIGBINV         424
3119 #define BSIM4v7_MOD_LNIGC            425
3120 #define BSIM4v7_MOD_LNIGBACC         426
3121 #define BSIM4v7_MOD_LNIGBINV         427
3122 #define BSIM4v7_MOD_LNTOX            428
3123 #define BSIM4v7_MOD_LEIGBINV         429
3124 #define BSIM4v7_MOD_LPIGCD           430
3125 #define BSIM4v7_MOD_LPOXEDGE         431
3126 #define BSIM4v7_MOD_LAIGSD           432
3127 #define BSIM4v7_MOD_LBIGSD           433
3128 #define BSIM4v7_MOD_LCIGSD           434
3129 
3130 #define BSIM4v7_MOD_LLAMBDA          435
3131 #define BSIM4v7_MOD_LVTL             436
3132 #define BSIM4v7_MOD_LXN              437
3133 #define BSIM4v7_MOD_LVFBSDOFF        438
3134 #define BSIM4v7_MOD_LUD              439
3135 #define BSIM4v7_MOD_LUD1             440
3136 #define BSIM4v7_MOD_LUP              441
3137 #define BSIM4v7_MOD_LLP              442
3138 #define BSIM4v7_MOD_LMINVCV          443
3139 
3140 #define BSIM4v7_MOD_FGIDL            444                  /* v4.7 New GIDL/GISL*/
3141 #define BSIM4v7_MOD_KGIDL            445                  /* v4.7 New GIDL/GISL*/
3142 #define BSIM4v7_MOD_RGIDL            446                  /* v4.7 New GIDL/GISL*/
3143 #define BSIM4v7_MOD_FGISL            447                  /* v4.7 New GIDL/GISL*/
3144 #define BSIM4v7_MOD_KGISL            448                  /* v4.7 New GIDL/GISL*/
3145 #define BSIM4v7_MOD_RGISL            449                  /* v4.7 New GIDL/GISL*/
3146 #define BSIM4v7_MOD_LFGIDL           450                  /* v4.7 New GIDL/GISL*/
3147 #define BSIM4v7_MOD_LKGIDL           451                  /* v4.7 New GIDL/GISL*/
3148 #define BSIM4v7_MOD_LRGIDL           452                  /* v4.7 New GIDL/GISL*/
3149 #define BSIM4v7_MOD_LFGISL           453                  /* v4.7 New GIDL/GISL*/
3150 #define BSIM4v7_MOD_LKGISL           454                  /* v4.7 New GIDL/GISL*/
3151 #define BSIM4v7_MOD_LRGISL           455                  /* v4.7 New GIDL/GISL*/
3152 #define BSIM4v7_MOD_WFGIDL           456                  /* v4.7 New GIDL/GISL*/
3153 #define BSIM4v7_MOD_WKGIDL           457                  /* v4.7 New GIDL/GISL*/
3154 #define BSIM4v7_MOD_WRGIDL           458                  /* v4.7 New GIDL/GISL*/
3155 #define BSIM4v7_MOD_WFGISL           459                  /* v4.7 New GIDL/GISL*/
3156 #define BSIM4v7_MOD_WKGISL           460                  /* v4.7 New GIDL/GISL*/
3157 #define BSIM4v7_MOD_WRGISL           461                  /* v4.7 New GIDL/GISL*/
3158 #define BSIM4v7_MOD_PFGIDL           462                  /* v4.7 New GIDL/GISL*/
3159 #define BSIM4v7_MOD_PKGIDL           463                  /* v4.7 New GIDL/GISL*/
3160 #define BSIM4v7_MOD_PRGIDL           464                  /* v4.7 New GIDL/GISL*/
3161 #define BSIM4v7_MOD_PFGISL           465                  /* v4.7 New GIDL/GISL*/
3162 #define BSIM4v7_MOD_PKGISL           466                  /* v4.7 New GIDL/GISL*/
3163 #define BSIM4v7_MOD_PRGISL           467                  /* v4.7 New GIDL/GISL*/
3164 #define BSIM4v7_MOD_GIDLMOD          379                        /* v4.7 New GIDL/GISL*/
3165 #define BSIM4v7_MOD_DVTP2           468                        /* v4.7 NEW DIBL/Rout*/
3166 #define BSIM4v7_MOD_DVTP3           469                        /* v4.7 NEW DIBL/Rout*/
3167 #define BSIM4v7_MOD_DVTP4           470                        /* v4.7 NEW DIBL/Rout*/
3168 #define BSIM4v7_MOD_DVTP5           471                        /* v4.7 NEW DIBL/Rout*/
3169 #define BSIM4v7_MOD_LDVTP2          472                        /* v4.7 NEW DIBL/Rout*/
3170 #define BSIM4v7_MOD_LDVTP3          473                        /* v4.7 NEW DIBL/Rout*/
3171 #define BSIM4v7_MOD_LDVTP4          474                        /* v4.7 NEW DIBL/Rout*/
3172 #define BSIM4v7_MOD_LDVTP5          475                        /* v4.7 NEW DIBL/Rout*/
3173 #define BSIM4v7_MOD_WDVTP2          476                        /* v4.7 NEW DIBL/Rout*/
3174 #define BSIM4v7_MOD_WDVTP3          477                        /* v4.7 NEW DIBL/Rout*/
3175 #define BSIM4v7_MOD_WDVTP4          478                        /* v4.7 NEW DIBL/Rout*/
3176 #define BSIM4v7_MOD_WDVTP5          479                        /* v4.7 NEW DIBL/Rout*/
3177 #define BSIM4v7_MOD_PDVTP2          480                        /* v4.7 NEW DIBL/Rout*/
3178 #define BSIM4v7_MOD_PDVTP3          298                         /* v4.7 NEW DIBL/Rout*/
3179 #define BSIM4v7_MOD_PDVTP4          299                         /* v4.7 NEW DIBL/Rout*/
3180 #define BSIM4v7_MOD_PDVTP5          300                         /* v4.7 NEW DIBL/Rout*/
3181 
3182 /* Width dependence */
3183 #define BSIM4v7_MOD_WCDSC            481
3184 #define BSIM4v7_MOD_WCDSCB           482
3185 #define BSIM4v7_MOD_WCIT             483
3186 #define BSIM4v7_MOD_WNFACTOR         484
3187 #define BSIM4v7_MOD_WXJ              485
3188 #define BSIM4v7_MOD_WVSAT            486
3189 #define BSIM4v7_MOD_WAT              487
3190 #define BSIM4v7_MOD_WA0              488
3191 #define BSIM4v7_MOD_WA1              489
3192 #define BSIM4v7_MOD_WA2              490
3193 #define BSIM4v7_MOD_WKETA            491
3194 #define BSIM4v7_MOD_WNSUB            492
3195 #define BSIM4v7_MOD_WNDEP            493
3196 #define BSIM4v7_MOD_WNGATE           495
3197 #define BSIM4v7_MOD_WGAMMA1          496
3198 #define BSIM4v7_MOD_WGAMMA2          497
3199 #define BSIM4v7_MOD_WVBX             498
3200 #define BSIM4v7_MOD_WVBM             500
3201 #define BSIM4v7_MOD_WXT              502
3202 #define BSIM4v7_MOD_WK1              505
3203 #define BSIM4v7_MOD_WKT1             506
3204 #define BSIM4v7_MOD_WKT1L            507
3205 #define BSIM4v7_MOD_WK2              508
3206 #define BSIM4v7_MOD_WKT2             509
3207 #define BSIM4v7_MOD_WK3              510
3208 #define BSIM4v7_MOD_WK3B             511
3209 #define BSIM4v7_MOD_WW0              512
3210 #define BSIM4v7_MOD_WLPE0            513
3211 #define BSIM4v7_MOD_WDVT0            514
3212 #define BSIM4v7_MOD_WDVT1            515
3213 #define BSIM4v7_MOD_WDVT2            516
3214 #define BSIM4v7_MOD_WDVT0W           517
3215 #define BSIM4v7_MOD_WDVT1W           518
3216 #define BSIM4v7_MOD_WDVT2W           519
3217 #define BSIM4v7_MOD_WDROUT           520
3218 #define BSIM4v7_MOD_WDSUB            521
3219 #define BSIM4v7_MOD_WVTH0            522
3220 #define BSIM4v7_MOD_WUA              523
3221 #define BSIM4v7_MOD_WUA1             524
3222 #define BSIM4v7_MOD_WUB              525
3223 #define BSIM4v7_MOD_WUB1             526
3224 #define BSIM4v7_MOD_WUC              527
3225 #define BSIM4v7_MOD_WUC1             528
3226 #define BSIM4v7_MOD_WU0              529
3227 #define BSIM4v7_MOD_WUTE             530
3228 #define BSIM4v7_MOD_WVOFF            531
3229 #define BSIM4v7_MOD_WDELTA           532
3230 #define BSIM4v7_MOD_WRDSW            533
3231 #define BSIM4v7_MOD_WPRT             534
3232 #define BSIM4v7_MOD_WLDD             535
3233 #define BSIM4v7_MOD_WETA             536
3234 #define BSIM4v7_MOD_WETA0            537
3235 #define BSIM4v7_MOD_WETAB            538
3236 #define BSIM4v7_MOD_WPCLM            539
3237 #define BSIM4v7_MOD_WPDIBL1          540
3238 #define BSIM4v7_MOD_WPDIBL2          541
3239 #define BSIM4v7_MOD_WPSCBE1          542
3240 #define BSIM4v7_MOD_WPSCBE2          543
3241 #define BSIM4v7_MOD_WPVAG            544
3242 #define BSIM4v7_MOD_WWR              545
3243 #define BSIM4v7_MOD_WDWG             546
3244 #define BSIM4v7_MOD_WDWB             547
3245 #define BSIM4v7_MOD_WB0              548
3246 #define BSIM4v7_MOD_WB1              549
3247 #define BSIM4v7_MOD_WALPHA0          550
3248 #define BSIM4v7_MOD_WBETA0           551
3249 #define BSIM4v7_MOD_WPDIBLB          554
3250 #define BSIM4v7_MOD_WPRWG            555
3251 #define BSIM4v7_MOD_WPRWB            556
3252 #define BSIM4v7_MOD_WCDSCD           557
3253 #define BSIM4v7_MOD_WAGS             558
3254 
3255 #define BSIM4v7_MOD_WFRINGE          561
3256 #define BSIM4v7_MOD_WCGSL            563
3257 #define BSIM4v7_MOD_WCGDL            564
3258 #define BSIM4v7_MOD_WCKAPPAS         565
3259 #define BSIM4v7_MOD_WCF              566
3260 #define BSIM4v7_MOD_WCLC             567
3261 #define BSIM4v7_MOD_WCLE             568
3262 #define BSIM4v7_MOD_WVFBCV           569
3263 #define BSIM4v7_MOD_WACDE            570
3264 #define BSIM4v7_MOD_WMOIN            571
3265 #define BSIM4v7_MOD_WNOFF            572
3266 #define BSIM4v7_MOD_WALPHA1          574
3267 #define BSIM4v7_MOD_WVFB             575
3268 #define BSIM4v7_MOD_WVOFFCV          576
3269 #define BSIM4v7_MOD_WAGIDL           577
3270 #define BSIM4v7_MOD_WBGIDL           578
3271 #define BSIM4v7_MOD_WEGIDL           579
3272 #define BSIM4v7_MOD_WXRCRG1          580
3273 #define BSIM4v7_MOD_WXRCRG2          581
3274 #define BSIM4v7_MOD_WEU              582
3275 #define BSIM4v7_MOD_WMINV            583
3276 #define BSIM4v7_MOD_WPDITS           584
3277 #define BSIM4v7_MOD_WPDITSD          585
3278 #define BSIM4v7_MOD_WFPROUT          586
3279 #define BSIM4v7_MOD_WLPEB            587
3280 #define BSIM4v7_MOD_WDVTP0           588
3281 #define BSIM4v7_MOD_WDVTP1           589
3282 #define BSIM4v7_MOD_WCGIDL           590
3283 #define BSIM4v7_MOD_WPHIN            591
3284 #define BSIM4v7_MOD_WRSW             592
3285 #define BSIM4v7_MOD_WRDW             593
3286 #define BSIM4v7_MOD_WNSD             594
3287 #define BSIM4v7_MOD_WCKAPPAD         595
3288 #define BSIM4v7_MOD_WAIGC            596
3289 #define BSIM4v7_MOD_WBIGC            597
3290 #define BSIM4v7_MOD_WCIGC            598
3291 #define BSIM4v7_MOD_WAIGBACC         599
3292 #define BSIM4v7_MOD_WBIGBACC         600
3293 #define BSIM4v7_MOD_WCIGBACC         601
3294 #define BSIM4v7_MOD_WAIGBINV         602
3295 #define BSIM4v7_MOD_WBIGBINV         603
3296 #define BSIM4v7_MOD_WCIGBINV         604
3297 #define BSIM4v7_MOD_WNIGC            605
3298 #define BSIM4v7_MOD_WNIGBACC         606
3299 #define BSIM4v7_MOD_WNIGBINV         607
3300 #define BSIM4v7_MOD_WNTOX            608
3301 #define BSIM4v7_MOD_WEIGBINV         609
3302 #define BSIM4v7_MOD_WPIGCD           610
3303 #define BSIM4v7_MOD_WPOXEDGE         611
3304 #define BSIM4v7_MOD_WAIGSD           612
3305 #define BSIM4v7_MOD_WBIGSD           613
3306 #define BSIM4v7_MOD_WCIGSD           614
3307 #define BSIM4v7_MOD_WLAMBDA          615
3308 #define BSIM4v7_MOD_WVTL             616
3309 #define BSIM4v7_MOD_WXN              617
3310 #define BSIM4v7_MOD_WVFBSDOFF        618
3311 #define BSIM4v7_MOD_WUD              619
3312 #define BSIM4v7_MOD_WUD1             620
3313 #define BSIM4v7_MOD_WUP              621
3314 #define BSIM4v7_MOD_WLP              622
3315 #define BSIM4v7_MOD_WMINVCV          623
3316 
3317 /* Cross-term dependence */
3318 #define BSIM4v7_MOD_PCDSC            661
3319 #define BSIM4v7_MOD_PCDSCB           662
3320 #define BSIM4v7_MOD_PCIT             663
3321 #define BSIM4v7_MOD_PNFACTOR         664
3322 #define BSIM4v7_MOD_PXJ              665
3323 #define BSIM4v7_MOD_PVSAT            666
3324 #define BSIM4v7_MOD_PAT              667
3325 #define BSIM4v7_MOD_PA0              668
3326 #define BSIM4v7_MOD_PA1              669
3327 #define BSIM4v7_MOD_PA2              670
3328 #define BSIM4v7_MOD_PKETA            671
3329 #define BSIM4v7_MOD_PNSUB            672
3330 #define BSIM4v7_MOD_PNDEP            673
3331 #define BSIM4v7_MOD_PNGATE           675
3332 #define BSIM4v7_MOD_PGAMMA1          676
3333 #define BSIM4v7_MOD_PGAMMA2          677
3334 #define BSIM4v7_MOD_PVBX             678
3335 
3336 #define BSIM4v7_MOD_PVBM             680
3337 
3338 #define BSIM4v7_MOD_PXT              682
3339 #define BSIM4v7_MOD_PK1              685
3340 #define BSIM4v7_MOD_PKT1             686
3341 #define BSIM4v7_MOD_PKT1L            687
3342 #define BSIM4v7_MOD_PK2              688
3343 #define BSIM4v7_MOD_PKT2             689
3344 #define BSIM4v7_MOD_PK3              690
3345 #define BSIM4v7_MOD_PK3B             691
3346 #define BSIM4v7_MOD_PW0              692
3347 #define BSIM4v7_MOD_PLPE0            693
3348 
3349 #define BSIM4v7_MOD_PDVT0            694
3350 #define BSIM4v7_MOD_PDVT1            695
3351 #define BSIM4v7_MOD_PDVT2            696
3352 
3353 #define BSIM4v7_MOD_PDVT0W           697
3354 #define BSIM4v7_MOD_PDVT1W           698
3355 #define BSIM4v7_MOD_PDVT2W           699
3356 
3357 #define BSIM4v7_MOD_PDROUT           700
3358 #define BSIM4v7_MOD_PDSUB            701
3359 #define BSIM4v7_MOD_PVTH0            702
3360 #define BSIM4v7_MOD_PUA              703
3361 #define BSIM4v7_MOD_PUA1             704
3362 #define BSIM4v7_MOD_PUB              705
3363 #define BSIM4v7_MOD_PUB1             706
3364 #define BSIM4v7_MOD_PUC              707
3365 #define BSIM4v7_MOD_PUC1             708
3366 #define BSIM4v7_MOD_PU0              709
3367 #define BSIM4v7_MOD_PUTE             710
3368 #define BSIM4v7_MOD_PVOFF            711
3369 #define BSIM4v7_MOD_PDELTA           712
3370 #define BSIM4v7_MOD_PRDSW            713
3371 #define BSIM4v7_MOD_PPRT             714
3372 #define BSIM4v7_MOD_PLDD             715
3373 #define BSIM4v7_MOD_PETA             716
3374 #define BSIM4v7_MOD_PETA0            717
3375 #define BSIM4v7_MOD_PETAB            718
3376 #define BSIM4v7_MOD_PPCLM            719
3377 #define BSIM4v7_MOD_PPDIBL1          720
3378 #define BSIM4v7_MOD_PPDIBL2          721
3379 #define BSIM4v7_MOD_PPSCBE1          722
3380 #define BSIM4v7_MOD_PPSCBE2          723
3381 #define BSIM4v7_MOD_PPVAG            724
3382 #define BSIM4v7_MOD_PWR              725
3383 #define BSIM4v7_MOD_PDWG             726
3384 #define BSIM4v7_MOD_PDWB             727
3385 #define BSIM4v7_MOD_PB0              728
3386 #define BSIM4v7_MOD_PB1              729
3387 #define BSIM4v7_MOD_PALPHA0          730
3388 #define BSIM4v7_MOD_PBETA0           731
3389 #define BSIM4v7_MOD_PPDIBLB          734
3390 
3391 #define BSIM4v7_MOD_PPRWG            735
3392 #define BSIM4v7_MOD_PPRWB            736
3393 
3394 #define BSIM4v7_MOD_PCDSCD           737
3395 #define BSIM4v7_MOD_PAGS             738
3396 
3397 #define BSIM4v7_MOD_PFRINGE          741
3398 #define BSIM4v7_MOD_PCGSL            743
3399 #define BSIM4v7_MOD_PCGDL            744
3400 #define BSIM4v7_MOD_PCKAPPAS         745
3401 #define BSIM4v7_MOD_PCF              746
3402 #define BSIM4v7_MOD_PCLC             747
3403 #define BSIM4v7_MOD_PCLE             748
3404 #define BSIM4v7_MOD_PVFBCV           749
3405 #define BSIM4v7_MOD_PACDE            750
3406 #define BSIM4v7_MOD_PMOIN            751
3407 #define BSIM4v7_MOD_PNOFF            752
3408 #define BSIM4v7_MOD_PALPHA1          754
3409 #define BSIM4v7_MOD_PVFB             755
3410 #define BSIM4v7_MOD_PVOFFCV          756
3411 #define BSIM4v7_MOD_PAGIDL           757
3412 #define BSIM4v7_MOD_PBGIDL           758
3413 #define BSIM4v7_MOD_PEGIDL           759
3414 #define BSIM4v7_MOD_PXRCRG1          760
3415 #define BSIM4v7_MOD_PXRCRG2          761
3416 #define BSIM4v7_MOD_PEU              762
3417 #define BSIM4v7_MOD_PMINV            763
3418 #define BSIM4v7_MOD_PPDITS           764
3419 #define BSIM4v7_MOD_PPDITSD          765
3420 #define BSIM4v7_MOD_PFPROUT          766
3421 #define BSIM4v7_MOD_PLPEB            767
3422 #define BSIM4v7_MOD_PDVTP0           768
3423 #define BSIM4v7_MOD_PDVTP1           769
3424 #define BSIM4v7_MOD_PCGIDL           770
3425 #define BSIM4v7_MOD_PPHIN            771
3426 #define BSIM4v7_MOD_PRSW             772
3427 #define BSIM4v7_MOD_PRDW             773
3428 #define BSIM4v7_MOD_PNSD             774
3429 #define BSIM4v7_MOD_PCKAPPAD         775
3430 #define BSIM4v7_MOD_PAIGC            776
3431 #define BSIM4v7_MOD_PBIGC            777
3432 #define BSIM4v7_MOD_PCIGC            778
3433 #define BSIM4v7_MOD_PAIGBACC         779
3434 #define BSIM4v7_MOD_PBIGBACC         780
3435 #define BSIM4v7_MOD_PCIGBACC         781
3436 #define BSIM4v7_MOD_PAIGBINV         782
3437 #define BSIM4v7_MOD_PBIGBINV         783
3438 #define BSIM4v7_MOD_PCIGBINV         784
3439 #define BSIM4v7_MOD_PNIGC            785
3440 #define BSIM4v7_MOD_PNIGBACC         786
3441 #define BSIM4v7_MOD_PNIGBINV         787
3442 #define BSIM4v7_MOD_PNTOX            788
3443 #define BSIM4v7_MOD_PEIGBINV         789
3444 #define BSIM4v7_MOD_PPIGCD           790
3445 #define BSIM4v7_MOD_PPOXEDGE         791
3446 #define BSIM4v7_MOD_PAIGSD           792
3447 #define BSIM4v7_MOD_PBIGSD           793
3448 #define BSIM4v7_MOD_PCIGSD           794
3449 
3450 #define BSIM4v7_MOD_SAREF            795
3451 #define BSIM4v7_MOD_SBREF            796
3452 #define BSIM4v7_MOD_KU0              797
3453 #define BSIM4v7_MOD_KVSAT            798
3454 #define BSIM4v7_MOD_TKU0             799
3455 #define BSIM4v7_MOD_LLODKU0          800
3456 #define BSIM4v7_MOD_WLODKU0          801
3457 #define BSIM4v7_MOD_LLODVTH          802
3458 #define BSIM4v7_MOD_WLODVTH          803
3459 #define BSIM4v7_MOD_LKU0             804
3460 #define BSIM4v7_MOD_WKU0             805
3461 #define BSIM4v7_MOD_PKU0             806
3462 #define BSIM4v7_MOD_KVTH0            807
3463 #define BSIM4v7_MOD_LKVTH0           808
3464 #define BSIM4v7_MOD_WKVTH0           809
3465 #define BSIM4v7_MOD_PKVTH0           810
3466 #define BSIM4v7_MOD_WLOD                   811
3467 #define BSIM4v7_MOD_STK2                   812
3468 #define BSIM4v7_MOD_LODK2                   813
3469 #define BSIM4v7_MOD_STETA0           814
3470 #define BSIM4v7_MOD_LODETA0           815
3471 
3472 #define BSIM4v7_MOD_WEB              816
3473 #define BSIM4v7_MOD_WEC              817
3474 #define BSIM4v7_MOD_KVTH0WE          818
3475 #define BSIM4v7_MOD_K2WE             819
3476 #define BSIM4v7_MOD_KU0WE            820
3477 #define BSIM4v7_MOD_SCREF            821
3478 #define BSIM4v7_MOD_WPEMOD           822
3479 #define BSIM4v7_MOD_PMINVCV          823
3480 
3481 #define BSIM4v7_MOD_PLAMBDA          825
3482 #define BSIM4v7_MOD_PVTL             826
3483 #define BSIM4v7_MOD_PXN              827
3484 #define BSIM4v7_MOD_PVFBSDOFF        828
3485 
3486 #define BSIM4v7_MOD_TNOM             831
3487 #define BSIM4v7_MOD_CGSO             832
3488 #define BSIM4v7_MOD_CGDO             833
3489 #define BSIM4v7_MOD_CGBO             834
3490 #define BSIM4v7_MOD_XPART            835
3491 #define BSIM4v7_MOD_RSH              836
3492 #define BSIM4v7_MOD_JSS              837
3493 #define BSIM4v7_MOD_PBS              838
3494 #define BSIM4v7_MOD_MJS              839
3495 #define BSIM4v7_MOD_PBSWS            840
3496 #define BSIM4v7_MOD_MJSWS            841
3497 #define BSIM4v7_MOD_CJS              842
3498 #define BSIM4v7_MOD_CJSWS            843
3499 #define BSIM4v7_MOD_NMOS             844
3500 #define BSIM4v7_MOD_PMOS             845
3501 #define BSIM4v7_MOD_NOIA             846
3502 #define BSIM4v7_MOD_NOIB             847
3503 #define BSIM4v7_MOD_NOIC             848
3504 #define BSIM4v7_MOD_LINT             849
3505 #define BSIM4v7_MOD_LL               850
3506 #define BSIM4v7_MOD_LLN              851
3507 #define BSIM4v7_MOD_LW               852
3508 #define BSIM4v7_MOD_LWN              853
3509 #define BSIM4v7_MOD_LWL              854
3510 #define BSIM4v7_MOD_LMIN             855
3511 #define BSIM4v7_MOD_LMAX             856
3512 #define BSIM4v7_MOD_WINT             857
3513 #define BSIM4v7_MOD_WL               858
3514 #define BSIM4v7_MOD_WLN              859
3515 #define BSIM4v7_MOD_WW               860
3516 #define BSIM4v7_MOD_WWN              861
3517 #define BSIM4v7_MOD_WWL              862
3518 #define BSIM4v7_MOD_WMIN             863
3519 #define BSIM4v7_MOD_WMAX             864
3520 #define BSIM4v7_MOD_DWC              865
3521 #define BSIM4v7_MOD_DLC              866
3522 #define BSIM4v7_MOD_XL               867
3523 #define BSIM4v7_MOD_XW               868
3524 #define BSIM4v7_MOD_EM               869
3525 #define BSIM4v7_MOD_EF               870
3526 #define BSIM4v7_MOD_AF               871
3527 #define BSIM4v7_MOD_KF               872
3528 #define BSIM4v7_MOD_NJS              873
3529 #define BSIM4v7_MOD_XTIS             874
3530 #define BSIM4v7_MOD_PBSWGS           875
3531 #define BSIM4v7_MOD_MJSWGS           876
3532 #define BSIM4v7_MOD_CJSWGS           877
3533 #define BSIM4v7_MOD_JSWS             878
3534 #define BSIM4v7_MOD_LLC              879
3535 #define BSIM4v7_MOD_LWC              880
3536 #define BSIM4v7_MOD_LWLC             881
3537 #define BSIM4v7_MOD_WLC              882
3538 #define BSIM4v7_MOD_WWC              883
3539 #define BSIM4v7_MOD_WWLC             884
3540 #define BSIM4v7_MOD_DWJ              885
3541 #define BSIM4v7_MOD_JSD              886
3542 #define BSIM4v7_MOD_PBD              887
3543 #define BSIM4v7_MOD_MJD              888
3544 #define BSIM4v7_MOD_PBSWD            889
3545 #define BSIM4v7_MOD_MJSWD            890
3546 #define BSIM4v7_MOD_CJD              891
3547 #define BSIM4v7_MOD_CJSWD            892
3548 #define BSIM4v7_MOD_NJD              893
3549 #define BSIM4v7_MOD_XTID             894
3550 #define BSIM4v7_MOD_PBSWGD           895
3551 #define BSIM4v7_MOD_MJSWGD           896
3552 #define BSIM4v7_MOD_CJSWGD           897
3553 #define BSIM4v7_MOD_JSWD             898
3554 #define BSIM4v7_MOD_DLCIG            899
3555 
3556 /* trap-assisted tunneling */
3557 
3558 #define BSIM4v7_MOD_JTSS             900
3559 #define BSIM4v7_MOD_JTSD                   901
3560 #define BSIM4v7_MOD_JTSSWS           902
3561 #define BSIM4v7_MOD_JTSSWD           903
3562 #define BSIM4v7_MOD_JTSSWGS           904
3563 #define BSIM4v7_MOD_JTSSWGD           905
3564 #define BSIM4v7_MOD_NJTS                    906
3565 #define BSIM4v7_MOD_NJTSSW           907
3566 #define BSIM4v7_MOD_NJTSSWG           908
3567 #define BSIM4v7_MOD_XTSS                   909
3568 #define BSIM4v7_MOD_XTSD                   910
3569 #define BSIM4v7_MOD_XTSSWS           911
3570 #define BSIM4v7_MOD_XTSSWD           912
3571 #define BSIM4v7_MOD_XTSSWGS           913
3572 #define BSIM4v7_MOD_XTSSWGD           914
3573 #define BSIM4v7_MOD_TNJTS                   915
3574 #define BSIM4v7_MOD_TNJTSSW           916
3575 #define BSIM4v7_MOD_TNJTSSWG           917
3576 #define BSIM4v7_MOD_VTSS             918
3577 #define BSIM4v7_MOD_VTSD                   919
3578 #define BSIM4v7_MOD_VTSSWS           920
3579 #define BSIM4v7_MOD_VTSSWD           921
3580 #define BSIM4v7_MOD_VTSSWGS           922
3581 #define BSIM4v7_MOD_VTSSWGD           923
3582 #define BSIM4v7_MOD_PUD              924
3583 #define BSIM4v7_MOD_PUD1             925
3584 #define BSIM4v7_MOD_PUP              926
3585 #define BSIM4v7_MOD_PLP              927
3586 #define BSIM4v7_MOD_JTWEFF           928
3587 
3588 /* device questions */
3589 #define BSIM4v7_DNODE                945
3590 #define BSIM4v7_GNODEEXT             946
3591 #define BSIM4v7_SNODE                947
3592 #define BSIM4v7_BNODE                948
3593 #define BSIM4v7_DNODEPRIME           949
3594 #define BSIM4v7_GNODEPRIME           950
3595 #define BSIM4v7_GNODEMIDE            951
3596 #define BSIM4v7_GNODEMID             952
3597 #define BSIM4v7_SNODEPRIME           953
3598 #define BSIM4v7_BNODEPRIME           954
3599 #define BSIM4v7_DBNODE               955
3600 #define BSIM4v7_SBNODE               956
3601 #define BSIM4v7_VBD                  957
3602 #define BSIM4v7_VBS                  958
3603 #define BSIM4v7_VGS                  959
3604 #define BSIM4v7_VDS                  960
3605 #define BSIM4v7_CD                   961
3606 #define BSIM4v7_CBS                  962
3607 #define BSIM4v7_CBD                  963
3608 #define BSIM4v7_GM                   964
3609 #define BSIM4v7_GDS                  965
3610 #define BSIM4v7_GMBS                 966
3611 #define BSIM4v7_GBD                  967
3612 #define BSIM4v7_GBS                  968
3613 #define BSIM4v7_QB                   969
3614 #define BSIM4v7_CQB                  970
3615 #define BSIM4v7_QG                   971
3616 #define BSIM4v7_CQG                  972
3617 #define BSIM4v7_QD                   973
3618 #define BSIM4v7_CQD                  974
3619 #define BSIM4v7_CGGB                 975
3620 #define BSIM4v7_CGDB                 976
3621 #define BSIM4v7_CGSB                 977
3622 #define BSIM4v7_CBGB                 978
3623 #define BSIM4v7_CAPBD                979
3624 #define BSIM4v7_CQBD                 980
3625 #define BSIM4v7_CAPBS                981
3626 #define BSIM4v7_CQBS                 982
3627 #define BSIM4v7_CDGB                 983
3628 #define BSIM4v7_CDDB                 984
3629 #define BSIM4v7_CDSB                 985
3630 #define BSIM4v7_VON                  986
3631 #define BSIM4v7_VDSAT                987
3632 #define BSIM4v7_QBS                  988
3633 #define BSIM4v7_QBD                  989
3634 #define BSIM4v7_SOURCECONDUCT        990
3635 #define BSIM4v7_DRAINCONDUCT         991
3636 #define BSIM4v7_CBDB                 992
3637 #define BSIM4v7_CBSB                 993
3638 #define BSIM4v7_CSUB                   994
3639 #define BSIM4v7_QINV                   995
3640 #define BSIM4v7_IGIDL                   996
3641 #define BSIM4v7_CSGB                 997
3642 #define BSIM4v7_CSDB                 998
3643 #define BSIM4v7_CSSB                 999
3644 #define BSIM4v7_CGBB                 1000
3645 #define BSIM4v7_CDBB                 1001
3646 #define BSIM4v7_CSBB                 1002
3647 #define BSIM4v7_CBBB                 1003
3648 #define BSIM4v7_QS                   1004
3649 #define BSIM4v7_IGISL                   1005
3650 #define BSIM4v7_IGS                   1006
3651 #define BSIM4v7_IGD                   1007
3652 #define BSIM4v7_IGB                   1008
3653 #define BSIM4v7_IGCS                   1009
3654 #define BSIM4v7_IGCD                   1010
3655 #define BSIM4v7_QDEF                   1011
3656 #define BSIM4v7_DELVT0                   1012
3657 #define BSIM4v7_GCRG                 1013
3658 #define BSIM4v7_GTAU                 1014
3659 
3660 #define BSIM4v7_MOD_LTVOFF           1051
3661 #define BSIM4v7_MOD_LTVFBSDOFF       1052
3662 #define BSIM4v7_MOD_WTVOFF           1053
3663 #define BSIM4v7_MOD_WTVFBSDOFF       1054
3664 #define BSIM4v7_MOD_PTVOFF           1055
3665 #define BSIM4v7_MOD_PTVFBSDOFF       1056
3666 
3667 #define BSIM4v7_MOD_LKVTH0WE          1061
3668 #define BSIM4v7_MOD_LK2WE             1062
3669 #define BSIM4v7_MOD_LKU0WE                1063
3670 #define BSIM4v7_MOD_WKVTH0WE          1064
3671 #define BSIM4v7_MOD_WK2WE             1065
3672 #define BSIM4v7_MOD_WKU0WE                1066
3673 #define BSIM4v7_MOD_PKVTH0WE          1067
3674 #define BSIM4v7_MOD_PK2WE             1068
3675 #define BSIM4v7_MOD_PKU0WE                1069
3676 
3677 #define BSIM4v7_MOD_RBPS0               1101
3678 #define BSIM4v7_MOD_RBPSL               1102
3679 #define BSIM4v7_MOD_RBPSW               1103
3680 #define BSIM4v7_MOD_RBPSNF              1104
3681 #define BSIM4v7_MOD_RBPD0               1105
3682 #define BSIM4v7_MOD_RBPDL               1106
3683 #define BSIM4v7_MOD_RBPDW               1107
3684 #define BSIM4v7_MOD_RBPDNF              1108
3685 
3686 #define BSIM4v7_MOD_RBPBX0              1109
3687 #define BSIM4v7_MOD_RBPBXL              1110
3688 #define BSIM4v7_MOD_RBPBXW              1111
3689 #define BSIM4v7_MOD_RBPBXNF             1112
3690 #define BSIM4v7_MOD_RBPBY0              1113
3691 #define BSIM4v7_MOD_RBPBYL              1114
3692 #define BSIM4v7_MOD_RBPBYW              1115
3693 #define BSIM4v7_MOD_RBPBYNF             1116
3694 
3695 #define BSIM4v7_MOD_RBSBX0              1117
3696 #define BSIM4v7_MOD_RBSBY0              1118
3697 #define BSIM4v7_MOD_RBDBX0              1119
3698 #define BSIM4v7_MOD_RBDBY0              1120
3699 
3700 #define BSIM4v7_MOD_RBSDBXL             1121
3701 #define BSIM4v7_MOD_RBSDBXW             1122
3702 #define BSIM4v7_MOD_RBSDBXNF            1123
3703 #define BSIM4v7_MOD_RBSDBYL             1124
3704 #define BSIM4v7_MOD_RBSDBYW             1125
3705 #define BSIM4v7_MOD_RBSDBYNF            1126
3706 
3707 #define BSIM4v7_MOD_AGISL               1200
3708 #define BSIM4v7_MOD_BGISL               1201
3709 #define BSIM4v7_MOD_EGISL               1202
3710 #define BSIM4v7_MOD_CGISL               1203
3711 #define BSIM4v7_MOD_LAGISL              1204
3712 #define BSIM4v7_MOD_LBGISL              1205
3713 #define BSIM4v7_MOD_LEGISL              1206
3714 #define BSIM4v7_MOD_LCGISL              1207
3715 #define BSIM4v7_MOD_WAGISL              1208
3716 #define BSIM4v7_MOD_WBGISL              1209
3717 #define BSIM4v7_MOD_WEGISL              1210
3718 #define BSIM4v7_MOD_WCGISL              1211
3719 #define BSIM4v7_MOD_PAGISL              1212
3720 #define BSIM4v7_MOD_PBGISL              1213
3721 #define BSIM4v7_MOD_PEGISL              1214
3722 #define BSIM4v7_MOD_PCGISL              1215
3723 
3724 #define BSIM4v7_MOD_AIGS                1220
3725 #define BSIM4v7_MOD_BIGS                1221
3726 #define BSIM4v7_MOD_CIGS                1222
3727 #define BSIM4v7_MOD_LAIGS               1223
3728 #define BSIM4v7_MOD_LBIGS               1224
3729 #define BSIM4v7_MOD_LCIGS               1225
3730 #define BSIM4v7_MOD_WAIGS               1226
3731 #define BSIM4v7_MOD_WBIGS               1227
3732 #define BSIM4v7_MOD_WCIGS               1228
3733 #define BSIM4v7_MOD_PAIGS               1229
3734 #define BSIM4v7_MOD_PBIGS               1230
3735 #define BSIM4v7_MOD_PCIGS               1231
3736 #define BSIM4v7_MOD_AIGD                1232
3737 #define BSIM4v7_MOD_BIGD                1233
3738 #define BSIM4v7_MOD_CIGD                1234
3739 #define BSIM4v7_MOD_LAIGD               1235
3740 #define BSIM4v7_MOD_LBIGD               1236
3741 #define BSIM4v7_MOD_LCIGD               1237
3742 #define BSIM4v7_MOD_WAIGD               1238
3743 #define BSIM4v7_MOD_WBIGD               1239
3744 #define BSIM4v7_MOD_WCIGD               1240
3745 #define BSIM4v7_MOD_PAIGD               1241
3746 #define BSIM4v7_MOD_PBIGD               1242
3747 #define BSIM4v7_MOD_PCIGD               1243
3748 #define BSIM4v7_MOD_DLCIGD              1244
3749 
3750 #define BSIM4v7_MOD_NJTSD               1250
3751 #define BSIM4v7_MOD_NJTSSWD             1251
3752 #define BSIM4v7_MOD_NJTSSWGD            1252
3753 #define BSIM4v7_MOD_TNJTSD              1253
3754 #define BSIM4v7_MOD_TNJTSSWD            1254
3755 #define BSIM4v7_MOD_TNJTSSWGD           1255
3756 
3757 /* v4.7 temp dep of leakage current  */
3758 
3759 #define BSIM4v7_MOD_TNFACTOR          1256
3760 #define BSIM4v7_MOD_TETA0                    1257
3761 #define BSIM4v7_MOD_TVOFFCV           1258
3762 #define BSIM4v7_MOD_LTNFACTOR         1260
3763 #define BSIM4v7_MOD_LTETA0            1261
3764 #define BSIM4v7_MOD_LTVOFFCV          1262
3765 #define BSIM4v7_MOD_WTNFACTOR         1264
3766 #define BSIM4v7_MOD_WTETA0            1265
3767 #define BSIM4v7_MOD_WTVOFFCV          1266
3768 #define BSIM4v7_MOD_PTNFACTOR         1268
3769 #define BSIM4v7_MOD_PTETA0            1269
3770 #define BSIM4v7_MOD_PTVOFFCV          1270
3771 
3772 /* tnoiMod=2 (v4.7) */
3773 #define BSIM4v7_MOD_TNOIC             1272
3774 #define BSIM4v7_MOD_RNOIC             1273
3775 
3776 #define BSIM4v7_MOD_VGS_MAX           1301
3777 #define BSIM4v7_MOD_VGD_MAX           1302
3778 #define BSIM4v7_MOD_VGB_MAX           1303
3779 #define BSIM4v7_MOD_VDS_MAX           1304
3780 #define BSIM4v7_MOD_VBS_MAX           1305
3781 #define BSIM4v7_MOD_VBD_MAX           1306
3782 #define BSIM4v7_MOD_VGSR_MAX          1307
3783 #define BSIM4v7_MOD_VGDR_MAX          1308
3784 #define BSIM4v7_MOD_VGBR_MAX          1309
3785 #define BSIM4v7_MOD_VBSR_MAX          1310
3786 #define BSIM4v7_MOD_VBDR_MAX          1311
3787 
3788 #include "bsim4v7ext.h"
3789 
3790 extern void BSIM4v7evaluate(double,double,double,BSIM4v7instance*,BSIM4v7model*,
3791         double*,double*,double*, double*, double*, double*, double*,
3792         double*, double*, double*, double*, double*, double*, double*,
3793         double*, double*, double*, double*, CKTcircuit*);
3794 extern int BSIM4v7debug(BSIM4v7model*, BSIM4v7instance*, CKTcircuit*, int);
3795 extern int BSIM4v7checkModel(BSIM4v7model*, BSIM4v7instance*, CKTcircuit*);
3796 extern int BSIM4v7PAeffGeo(double, int, int, double, double, double, double, double *, double *, double *, double *);
3797 extern int BSIM4v7RdseffGeo(double, int, int, int, double, double, double, double, double, int, double *);
3798 extern int BSIM4v7RdsEndIso(double, double, double, double, double, double, int, int, double *);
3799 extern int BSIM4v7RdsEndSha(double, double, double, double, double, double, int, int, double *);
3800 
3801 #endif /*BSIM4v7*/
3802