Home
last modified time | relevance | path

Searched defs:Clk (Results 1 – 25 of 41) sorted by last modified time

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/common/sync/
H A DPulser.vhd48 Clk : in std_logic; port
180 signal Clk: std_logic := '0'; signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/simple_gemac/miim/
H A Deth_clockgen.v85 input Clk; // Input clock (Host clock) port
H A Deth_miim.v121 input Clk; // Host Clock port
H A Deth_shiftreg.v91 input Clk; // Input clock (Host clock) port
H A Deth_outputcontrol.v86 input Clk; // Host Clock port
/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_mem_slot.v11 input Clk; port
/dports/cad/yosys/yosys-yosys-0.12/tests/asicworld/
H A Dcode_hdl_models_GrayCounter.v15 input wire Clk); port
/dports/devel/universal-ctags/ctags-p5.9.20211128.0/Units/review-needed.r/test.vhd.t/
H A Dinput.vhd182 Clk: in std_logic; port
194 Clk: in std_logic; port in BidirCnt.behavioral.LoadCnt
2409 D, Clk: in std_logic; port
2880 A, B, Clk: in std_logic; port
4083 Clk: in std_logic; port
4803 Clk : in bit; port in PowerPkg.Power
4814 Clk : in bit; port
6304 port (D, Clk: in std_logic; port in simPrimitives.SimDFF
6342 port (D, Clk: in std_logic; port
/dports/devel/geany/geany-1.38/tests/ctags/
H A Dtest.vhd182 Clk: in std_logic; port
194 Clk: in std_logic; port in BidirCnt.behavioral.LoadCnt
2409 D, Clk: in std_logic; port
2880 A, B, Clk: in std_logic; port
4083 Clk: in std_logic; port
4803 Clk : in bit; port in PowerPkg.Power
4814 Clk : in bit; port
6304 port (D, Clk: in std_logic; port in simPrimitives.SimDFF
6342 port (D, Clk: in std_logic; port
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/video/fbdev/via/
H A Dvt1636.c140 static int get_clk_range_index(u32 Clk) in get_clk_range_index()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/video/fbdev/via/
H A Dvt1636.c140 static int get_clk_range_index(u32 Clk) in get_clk_range_index()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/net/ethernet/apple/
H A Dbmac.c1077 #define Clk 0x0002 macro
H A Dbmac.h45 # define Clk 0x0002 macro
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/net/ethernet/apple/
H A Dbmac.h45 # define Clk 0x0002 macro
H A Dbmac.c1077 #define Clk 0x0002 macro
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/net/ethernet/apple/
H A Dbmac.h45 # define Clk 0x0002 macro
H A Dbmac.c1077 #define Clk 0x0002 macro
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/video/fbdev/via/
H A Dvt1636.c140 static int get_clk_range_index(u32 Clk) in get_clk_range_index()
/dports/sysutils/edk2/edk2-platforms-89f6170d/Silicon/Marvell/Drivers/SdMmc/XenonDxe/
H A DXenonSdhci.c136 UINT32 Clk; in XenonSetClk() local
/dports/sysutils/edk2/edk2-platforms-89f6170d/Silicon/Intel/TigerlakeSiliconPkg/Include/ConfigBlock/Scs/
H A DScsConfig.h69 MUX_GPIO_PARAM Clk; member
77 MUX_GPIO_PARAM Clk; member
/dports/sysutils/edk2/edk2-platforms-89f6170d/Silicon/Intel/TigerlakeSiliconPkg/Include/
H A DSerialIoDevices.h41 } SERIAL_IO_SPI_MODE;
/dports/games/chessx/chessx-1.5.6/src/dialogs/
H A Dcommentdialog.h14 enum TimeMode { Egt, Emt, Clk}; enumerator
/dports/games/libretro-bluemsx/blueMSX-libretro-faf470e/Src/IoDevice/
H A DMicrowire93Cx6.c53 int Clk; member
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1051/
H A Dpsi_common_i2c_master_tb.vhd62 signal Clk : std_logic := '1'; signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue17/
H A Dcond_assign_proc.vhdl8 signal Clk : std_logic := '0' ; signal

12