Home
last modified time | relevance | path

Searched defs:DOUT (Results 1 – 25 of 54) sorted by relevance

123

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/
H A Dfifo_short_2clk_exdes.vhd84 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port
109 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_short_2clk_exdes.xilinx.fifo_short_2clk
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/
H A Dfifo_4k_2clk_exdes.vhd84 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port
109 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_4k_2clk_exdes.xilinx.fifo_4k_2clk
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/
H A Dfifo_4k_2clk_exdes.vhd84 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port
109 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_4k_2clk_exdes.xilinx.fifo_4k_2clk
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/
H A Dfifo_short_2clk_exdes.vhd84 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port
109 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_short_2clk_exdes.xilinx.fifo_short_2clk
/dports/cad/yosys/yosys-yosys-0.12/manual/PRESENTATION_ExSyn/
H A Dmemory_01.v3 output reg [7:0] DOUT); port
/dports/security/yubico-piv-tool/yubico-piv-tool-2.2.0/ykcs11/
H A Ddebug.h45 #define DOUT DBG(("Out")); macro
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue672/
H A DSQR.vhd27 DOUT : OUT std_logic_vector(31 downto 0); port
H A DSQRTb.vhd33 DOUT : OUT std_logic_vector(31 downto 0); port in SQRTb.TB.SQR
/dports/devel/openocd/openocd-0.11.0/contrib/loaders/flash/
H A Dmrvlqspi_write.S54 #define DOUT 0x8 macro
/dports/lang/ruby26/ruby-2.6.9/lib/irb/
H A Dslex.rb24 DOUT = Notifier::def_notifier("SLex::") constant in IRB.SLex
/dports/math/gap/gap-4.11.0/pkg/grape-4.8.3/nauty22/
H A Dsumlines.c134 #define DOUT "%ld" /* Formats used to output %d/%x/%p,%f,%v quantities */ macro
139 #define DOUT "%lld" macro
144 #define DOUT "%Ld" macro
/dports/textproc/pict/pict-3.7.1/api/
H A Dgenerator.h29 #define DOUT(arg) logfile << arg macro
31 #define DOUT(arg) wcerr << arg macro
34 #define DOUT(arg) macro
/dports/math/plantri/plantri52/
H A Dsumlines.c137 #define DOUT "%ld" /* Format used to output %d/%x/%n/%p quantities */ macro
144 #define DOUT "%lld" macro
151 #define DOUT "%Ld" macro
/dports/math/nauty/nauty27r3/
H A Dsumlines.c137 #define DOUT "%ld" /* Format used to output %d/%x/%n/%p quantities */ macro
144 #define DOUT "%lld" macro
151 #define DOUT "%Ld" macro
/dports/math/py-pynauty/pynauty-1.0.2/src/nauty27r1/
H A Dsumlines.c137 #define DOUT "%ld" /* Format used to output %d/%x/%n/%p quantities */ macro
144 #define DOUT "%lld" macro
151 #define DOUT "%Ld" macro
/dports/math/blaze/blaze-3.8/blazetest/blazetest/mathtest/adaptors/uppermatrix/
H A DColumnTest.h84 using DOUT = DUT::OppositeType; variable
H A DRowTest.h84 using DOUT = DUT::OppositeType; variable
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/
H A Dfifo_4k_2clk_pkg.vhd209 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_4k_2clk_pkg.fifo_4k_2clk_exdes
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/
H A Dfifo_4k_2clk_pkg.vhd209 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_4k_2clk_pkg.fifo_4k_2clk_exdes
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/
H A Dfifo_short_2clk_pkg.vhd209 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_short_2clk_pkg.fifo_short_2clk_exdes
/dports/math/blaze/blaze-3.8/blazetest/blazetest/mathtest/adaptors/strictlyuppermatrix/
H A DRowTest.h84 using DOUT = DUT::OppositeType; variable
H A DColumnTest.h84 using DOUT = DUT::OppositeType; variable
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/
H A Dfifo_short_2clk_pkg.vhd209 DOUT : OUT std_logic_vector(72-1 DOWNTO 0); port in fifo_short_2clk_pkg.fifo_short_2clk_exdes
/dports/math/blaze/blaze-3.8/blazetest/blazetest/mathtest/adaptors/uniuppermatrix/
H A DRowTest.h84 using DOUT = DUT::OppositeType; variable
H A DColumnTest.h84 using DOUT = DUT::OppositeType; variable

123