Home
last modified time | relevance | path

Searched defs:ED (Results 1 – 25 of 49) sorted by relevance

12

/freebsd/contrib/llvm-project/clang/include/clang/Sema/
H A DTypoCorrection.h108 void setQualifierDistance(unsigned ED) { in setQualifierDistance()
112 void setCallbackDistance(unsigned ED) { in setCallbackDistance()
119 static unsigned NormalizeEditDistance(unsigned ED) { in NormalizeEditDistance()
132 unsigned ED = variable
/freebsd/contrib/llvm-project/clang/lib/StaticAnalyzer/Checkers/
H A DEnumCastOutOfRangeChecker.cpp74 EnumValueVector getDeclValuesForEnum(const EnumDecl *ED) { in getDeclValuesForEnum()
150 const EnumDecl *ED = T->castAs<EnumType>()->getDecl(); in checkPreStmt() local
/freebsd/bin/ed/test/
H A DMakefile3 ED= ${.OBJDIR}/ed macro
/freebsd/contrib/llvm-project/clang/lib/Index/
H A DIndexingContext.cpp160 } else if (const auto *ED = dyn_cast<EnumDecl>(D)) { in isTemplateImplicitInstantiation() local
223 } else if (const auto *ED = dyn_cast<EnumDecl>(D)) { in adjustTemplateImplicitInstantiation() local
237 if (const auto *ED = dyn_cast<EnumDecl>(ECD->getDeclContext())) { in adjustTemplateImplicitInstantiation() local
H A DIndexDecl.cpp381 bool VisitEnumDecl(const EnumDecl *ED) { in VisitEnumDecl()
H A DUSRGeneration.cpp584 if (auto *ED = dyn_cast<EnumDecl>(D)) { in VisitTagDecl() local
/freebsd/contrib/llvm-project/clang/lib/Sema/
H A DSemaModule.cpp545 if (auto *ED = dyn_cast<ExportDecl>(DC)) in getEnclosingExportDecl() local
809 if (auto *ED = getEnclosingExportDecl(D)) { in ActOnStartExportDecl() local
889 auto *ED = cast<ExportDecl>(D); in ActOnFinishExportDecl() local
H A DSemaLambda.cpp562 if (EnumDecl *ED = findEnumForBlockReturn(CO->getTrueExpr())) in findEnumForBlockReturn() local
604 EnumDecl *ED = findEnumForBlockReturn(*i); in findCommonEnumForBlockReturns() local
697 const EnumDecl *ED = findCommonEnumForBlockReturns(CSI.Returns); in deduceClosureReturnType() local
H A DJumpDiagnostics.cpp611 if (auto *ED = dyn_cast<OMPExecutableDirective>(S)) { in BuildScopeInformation() local
H A DSemaLookup.cpp1553 } else if (EnumDecl *ED = dyn_cast<EnumDecl>(Entity)) { in getDefiningModule() local
4605 unsigned ED = TypoStr.edit_distance(Name, true, UpperBound); in addName() local
5395 unsigned ED = Consumer->getBestEditDistance(true); in CorrectTypo() local
5517 unsigned ED = Consumer->getBestEditDistance(true); in CorrectTypoDelayed() local
/freebsd/contrib/llvm-project/llvm/lib/Target/Hexagon/
H A DHexagonConstExtenders.cpp493 raw_ostream &operator<< (raw_ostream &OS, const HCE::ExtDesc &ED) { in operator <<()
1144 ExtDesc ED; in recordExtender() local
1275 const ExtDesc &ED = Extenders[I]; in assignInits() local
1295 const ExtDesc &ED = Extenders[I]; in assignInits() local
1465 const ExtDesc &ED = Extenders[I]; in assignInits() local
1495 const ExtDesc &ED = Extenders[Refs[i]]; in calculatePlacement() local
1603 bool HCE::replaceInstrExact(const ExtDesc &ED, Register ExtR) { in replaceInstrExact()
1720 bool HCE::replaceInstrExpr(const ExtDesc &ED, const ExtenderInit &ExtI, in replaceInstrExpr()
1851 const ExtDesc &ED = Extenders[Idx]; in replaceInstr() local
/freebsd/lib/libnetmap/
H A Dnmreq.c47 #define ED(...) D(__VA_ARGS__) macro
49 #define ED(...) macro
/freebsd/contrib/llvm-project/clang/lib/Tooling/Refactoring/Rename/
H A DUSRLocFinder.cpp309 if (const auto *ED = in VisitDeclRefExpr() local
475 if (const auto *ED = in getSupportedDeclFromTypeLoc() local
/freebsd/contrib/llvm-project/clang/include/clang/Frontend/
H A DVerifyDiagnosticConsumer.h122 ExpectedData ED; variable
/freebsd/contrib/llvm-project/clang/lib/CodeGen/
H A DCodeGenTypes.cpp170 if (const EnumDecl *ED = dyn_cast<EnumDecl>(TD)) { in UpdateCompletedType() local
648 const EnumDecl *ED = cast<EnumType>(Ty)->getDecl(); in ConvertType() local
H A DCGDebugInfo.cpp2488 void CGDebugInfo::completeType(const EnumDecl *ED) { in completeType()
3339 const EnumDecl *ED = Ty->getDecl(); in CreateEnumType() local
3383 const EnumDecl *ED = Ty->getDecl(); in CreateTypeDefinition() local
5318 if (const auto *ED = dyn_cast<EnumDecl>(ET->getDecl())) { in TraverseEnumType() local
5559 const auto *ED = cast<EnumDecl>(ECD->getDeclContext()); in EmitGlobalVariable() local
/freebsd/contrib/llvm-project/clang/lib/Analysis/
H A DUninitializedValues.cpp414 void ClassifyRefs::VisitOMPExecutableDirective(OMPExecutableDirective *ED) { in VisitOMPExecutableDirective()
692 OMPExecutableDirective *ED) { in VisitOMPExecutableDirective()
H A DReachableCode.cpp274 if (const EnumConstantDecl *ED = dyn_cast<EnumConstantDecl>(D)) in isConfigurationValue() local
/freebsd/contrib/llvm-project/clang/lib/Frontend/
H A DVerifyDiagnosticConsumer.cpp403 static bool ParseDirective(StringRef S, ExpectedData *ED, SourceManager &SM, in ParseDirective()
995 ExpectedData &ED) { in CheckResults()
/freebsd/contrib/llvm-project/clang/lib/Lex/
H A DPPLexerChange.cpp390 const unsigned ED = ControllingMacroName.edit_distance( in HandleEndOfFile() local
/freebsd/contrib/llvm-project/clang/lib/CodeGen/Targets/
H A DXCore.cpp431 if (const EnumDecl *ED = ET->getDecl()->getDefinition()) { in appendEnumType() local
/freebsd/contrib/llvm-project/llvm/tools/llvm-pdbutil/
H A Dllvm-pdbutil.cpp1160 EnumDumper ED(Printer); in dumpPretty() local
1194 ExternalSymbolDumper ED(Printer); in dumpPretty() local
/freebsd/contrib/llvm-project/llvm/lib/Transforms/IPO/
H A DOpenMPOpt.cpp2738 const ExecutionDomainTy &ED = CB ? CEDMap[{CB, PRE}] : BEDMap[nullptr]; in manifest() local
2988 Attributor &A, ExecutionDomainTy &ED, const ExecutionDomainTy &PredED) { in mergeInPredecessorBarriersAndAssumptions()
2997 Attributor &A, ExecutionDomainTy &ED, const ExecutionDomainTy &PredED, in mergeInPredecessor()
3081 auto HandleAlignedBarrier = [&](CallBase &CB, ExecutionDomainTy &ED) { in updateImpl()
3113 ExecutionDomainTy ED; in updateImpl() local
3579 const auto *ED = A.getAAFor<AAExecutionDomain>( in updateImpl() local
/freebsd/contrib/llvm-project/clang/lib/ARCMigrate/
H A DObjCMT.cpp1865 else if (const EnumDecl *ED = dyn_cast<EnumDecl>(*D)) { in HandleTranslationUnit() local
1887 if (const EnumDecl *ED = dyn_cast<EnumDecl>(*N)) { in HandleTranslationUnit() local
/freebsd/contrib/llvm-project/clang/include/clang/AST/
H A DDecl.h4089 void setInstantiationOfMemberEnum(EnumDecl *ED, in setInstantiationOfMemberEnum()
4985 inline bool IsEnumDeclComplete(EnumDecl *ED) { in IsEnumDeclComplete()
4993 inline bool IsEnumDeclScoped(EnumDecl *ED) { in IsEnumDeclScoped()

12