/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug037/ |
H A D | arith_addw.vhdl | 57 K : positive; -- Block Count generic 139 signal g : std_logic_vector(K-1 downto 1); -- Block Generate signal 153 signal z : unsigned(K+M-1 downto 0); signal 177 signal z : unsigned(M downto 0); signal 272 signal ss : unsigned(HI downto LO); signal
|
/dports/math/miracl/miracl-5.6/ |
H A D | ake4mnta.cpp | 96 ZZn2 x,y,z; in line() local 111 ZZn4 g(ECn2& A,ECn2& B,ZZn& Qx,ZZn& Qy) in g() function 117 ZZn2 x,y,z; in g() local 302 ECn2 T,K; in cofactor() local 336 Big a,b,s,ss,p,q,r,B,delta,fr,t,t1; in main() local
|
H A D | ake12bnr.cpp | 146 ZZn2 x,y,w,z; in cofactor() local 148 ECn2 K,T; in cofactor() local 221 ZZn2 x,y,z,w,r; in q_power_frobenius() local 280 ZZn12 g(ECn2& A,ECn2& B,ZZn& Qx,ZZn& Qy) in g() function 612 Big a,b,s,ss,p,q,x,y,cf,t; in main() local
|
H A D | ake12bna.cpp | 131 ZZn2 x,y,w,z; in cofactor() local 132 ECn2 K,T; in cofactor() local 159 ZZn12 g(ECn2& A,ECn2& B,ZZn& Qx,ZZn& Qy) in g() function 397 Big a,b,s,ss,p,q,x,y,B,cf,t; in main() local
|
H A D | ake12bnx.cpp | 181 ZZn2 x,y,z,w,r; in q_power_frobenius() local 214 ECn2 T,K; in cofactor() local 234 ZZn12 g(ECn2& A,ECn2& B,ZZn& Qx,ZZn& Qy) in g() function 619 Big a,b,s,ss,p,q,x,y,cf,t,BB[4][4],WB[4],SB[2][2],W[2]; in main() local
|
/dports/games/hyperrogue/hyperrogue-12.0f/ |
H A D | nonisotropic.cpp | 177 ld z = diag+1.; in x_to_ix() local 302 auto g = get_at(c1, c2); in create_step() local 375 ld z = log(2); in adjmatrix() local 1066 EX void configure(eGeometry g) { in configure() 1108 auto g = geometry; in reconfigure() local 1426 auto& ss = pm->spins; in link() local 1715 ld K = -1; in get_inverse_exp() local 2128 ld& z = h[2]; in qtm() local 2185 auto g = std::move(gmatrix); in draw_underlying() local 2239 ld K = sp ? 1 : -1; in formula_exp() local [all …]
|
/dports/math/R/R-4.1.2/tests/ |
H A D | reg-tests-1a.R | 715 ss <- substring("abcdef",1:6,1:6) globalVar 893 g <- function(x, ...) x function 899 g <- function(x, ...) x function 1504 ss <- smooth.spline(x, 10*sin(x)) globalVar 1633 g <- factor(round(10 * runif(1000))) globalVar 2447 K <- c(1,0,0,1,0,1,1,0,0,1,0,1,0,1,1,0,0,0,1,1,1,0,1,0) vector 3057 g <- e globalVar 3065 gold <- g <- e globalVar 3548 K <- c(1,0,0,1,0,1,1,0,0,1,0,1,0,1,1,0,0,0,1,1,1,0,1,0) vector 3552 K=factor(K), yield=yield) nameattr [all …]
|
/dports/math/libRmath/R-4.1.1/tests/ |
H A D | reg-tests-1a.R | 715 ss <- substring("abcdef",1:6,1:6) globalVar 893 g <- function(x, ...) x function 899 g <- function(x, ...) x function 1504 ss <- smooth.spline(x, 10*sin(x)) globalVar 1633 g <- factor(round(10 * runif(1000))) globalVar 2447 K <- c(1,0,0,1,0,1,1,0,0,1,0,1,0,1,1,0,0,0,1,1,1,0,1,0) vector 3057 g <- e globalVar 3065 gold <- g <- e globalVar 3548 K <- c(1,0,0,1,0,1,1,0,0,1,0,1,0,1,1,0,0,0,1,1,1,0,1,0) vector 3552 K=factor(K), yield=yield) nameattr [all …]
|
/dports/net/gsk/gsk-1.0.63/src/hash/ |
H A D | gskhash.c | 219 #define MD5Update(x, y, z) md5_loop((x), (y), (z)) argument 692 #define SHA1Update(x, y, z) sha1_loop((x), (y), (z)) argument 741 #define K(t) _K[(t) / 20] macro 1089 #define F0(x,y,z) ((x & y) | (z & (x | y))) in sha256_process_64() argument 1090 #define F1(x,y,z) (z ^ (x & (y ^ z))) in sha256_process_64() argument 1098 #define P(a,b,c,d,e,f,g,h,x,K) \ in sha256_process_64() argument 1410 crc32(guint32 val, const void *ss, int len) in crc32()
|
/dports/math/R-cran-quantreg/quantreg/R/ |
H A D | crq.R | 124 ss <- cbind(y, yc) functionVar 134 g <- survival::survfit(x) functionVar 272 z <- crq.fit.pow(X, y, cen, tau = taus[i], weights, left = left, ...) functionVar 391 z <- .Fortran("crqf", functionVar 481 z <- .Fortran("crqfnb", as.integer(n), as.integer(p), functionVar 710 z <- rq.wfit(x, y, tau = g0, weights = w, method = method) functionVar 734 K <- NULL functionVar
|
/dports/math/R/R-4.1.2/src/main/ |
H A D | unique.c | 64 int K; member 151 static Rcomplex unify_complex_na(Rcomplex z) { in unify_complex_na() 186 intptr_t z = (intptr_t) STRING_ELT(x, indx); in cshash() local 1504 const char *ss = in[i]; in do_pmatch() local 1536 const char *ss; in do_pmatch() local 1571 const char *ss, *st; in do_charmatch() local 1845 rowsum(SEXP x, SEXP g, SEXP uniqueg, SEXP snarm, SEXP rn) in rowsum() 1920 rowsum_df(SEXP x, SEXP g, SEXP uniqueg, SEXP snarm, SEXP rn) in rowsum_df() 2044 const char *csep, *ss; in do_makeunique() local
|
/dports/math/libRmath/R-4.1.1/src/main/ |
H A D | unique.c | 46 int K; member 133 static Rcomplex unify_complex_na(Rcomplex z) { in unify_complex_na() 168 intptr_t z = (intptr_t) STRING_ELT(x, indx); in cshash() local 1488 const char *ss = in[i]; in do_pmatch() local 1520 const char *ss; in do_pmatch() local 1555 const char *ss, *st; in do_charmatch() local 1829 rowsum(SEXP x, SEXP g, SEXP uniqueg, SEXP snarm, SEXP rn) in rowsum() 1904 rowsum_df(SEXP x, SEXP g, SEXP uniqueg, SEXP snarm, SEXP rn) in rowsum_df() 2028 const char *csep, *ss; in do_makeunique() local
|
/dports/math/pari/pari-2.13.3/src/modules/ |
H A D | algebras.c | 471 GEN I, x, traces, K, MT, P, mt; in algradical() local 726 GEN p, Z, F, K; in algprimesubalg() local 1028 int ss; in algsimpledec() local 1506 algissimple(GEN al, long ss) in algissimple() 1747 GEN z; in alM_add() local 1790 GEN z; in alM_sub() local 3219 long g = n/d; in localextdeg() local 3642 long g = lg(L) - 1; in testsplits() local 4372 GEN reg, elts2, g; in list_to_regular_rep() local 4514 GEN I, Ii, M, mt, K, imi, p2; in algleftordermodp() local [all …]
|
/dports/misc/vxl/vxl-3.3.2/contrib/brl/bbas/bpgl/icam/ |
H A D | icam_minimizer.cxx | 546 double x , y, z; in exhaustive_camera_search() local 641 double x , y, z; in pyramid_camera_search() local 957 vpgl_calibration_matrix<double> K(Km); in source_cam() local 965 const double r, const double g, const double b, in write_vrml_sphere() 1040 double x, y, z; in box_search_vrml() local 1052 double ss = 2.0/den -1.0; in box_search_vrml() local 1099 double z = box_origin_.z() + iz_min*step_delta_.z(); in smallest_local_minimum() local 1127 auto z = static_cast<float>(depth(i,j)); in inv_depth() local
|
/dports/math/giacxcas/giac-1.6.0/src/ |
H A D | plot.cc | 1096 int density(double z,double fmin,double fmax){ in density() 8730 int ss=w0.size(),i; in _plot() local 9226 gen x(vxyz[0]),y(vxyz[1]),z; in _equation() local 10568 string ss(1,'"'); in _distanceat() local 10632 string ss="\"a"; in _areaat() local 10677 string ss="\"s"; in _slopeat() local 10702 string ss="\"p"; in _perimeterat() local 10772 string ss="\"α"; in _angleat() local 12829 gen x,y,z; in _plotimplicit() local 12960 double z=Z[k]; in plot_array() local [all …]
|
H A D | vecteur.cc | 580 int ss=int(s.size()); in alphaposcell() local 601 int ss=int(s.size()); in iscell() local 1651 void rand_1(vector<giac_double> & z){ in rand_1() 1926 double *z=new double[deg2]; in proot_real1() local 2129 gen dkw_prod(const vecteur & z,int j){ in dkw_prod() 2141 vecteur z(accurate_evalf(racines,nbits)); in dkw() local 4639 integer M(resrows),N(rescols),K(n); in mmult_double() local 4711 int z=0,c=0; in matrix_density() local 9123 std::vector<double> z,z1,z2,z3; member 10739 stringstream ss; in find_randvars() local [all …]
|
H A D | graphe.cc | 1027 stringstream ss; in make_idnt() local 1038 stringstream ss; in word2gen() local 1039 gen g; in word2gen() local 1047 stringstream ss(str); in str2gen() local 1063 gen g; in str2gen() local 1077 stringstream ss; in gen2str() local 1221 stringstream ss; in graphe() local 5505 int n=L.size(),d,i,z; in hakimi() local 7032 gen td,z; in ranrut() local 8759 stringstream ss; in make_product_nodes() local [all …]
|
/dports/biology/mrbayes/MrBayes-3.2.7/src/ |
H A D | utils.c | 1003 MrBFlt f, g, interval; in LowerUpperMedianHPD() local 2312 PolyNode *pp, *qq, *rr, *ss, *tt; in BuildConstraintTree() local 8946 int AutodGamma (MrBFlt *M, MrBFlt rho, int K) in AutodGamma() 9077 MrBFlt c, f, g, r, s, b2; in Balanc() local 12396 MrBFlt p = alpha, g = LnGamma_alpha, in IncompleteGamma() local 12679 MrBFlt z; in LnPriorProbLognormal() local 12704 MrBFlt z; in LnPriorProbNormal() local 13050 MrBFlt lnProb, z; in LnProbLogNormal() local 13757 static MrBFlt a, p, uf, ss=10.0, d; in RndGamma1() local 13800 MrBFlt r , d, f, g, x; in RndGamma2() local [all …]
|
/dports/math/freefem++/FreeFem-sources-4.6/src/fflib/ |
H A D | lgfem.cpp | 183 Type_Expr z; member in LinkToInterpreter 548 E_StopGC(Stack ss, long nn, const Polymorphic *op) in E_StopGC() 559 bool Stop(int iterr, R *x, R *g) { in Stop() 1716 FElement K = (*fes)[k]; in operator ( )() local 1745 const Triangle *K; in pfer2R() local 1807 const Triangle &K(Th[ik]); in set_fe() local 1820 FElement K(Vh[t]); in set_fe() local 1872 FElement K(Vh[t]); in set_feoX_1() local 3262 const Triangle &K(Th[i]); in operator ( )() local 3306 const Triangle &K(Th[i]); in operator ( )() local [all …]
|
/dports/math/pari/pari-2.13.3/src/basemath/ |
H A D | elliptic.c | 123 checkellpt(GEN z) in checkellpt() 260 GEN z; in ec_f_evalx() local 469 GEN g = RgX_gcd(D, c4); in initsmall() local 503 GEN z; in ellprint() local 1582 GEN c4, c6, disc, g, N, M, F, E, D = gen_1; in ellminimaltwist() local 1942 GEN p2,q2, ss = gen_0; in ellmul_CM() local 4443 GEN c6 = ell_get_c6(E), g, u, P, DP; in ellQ_minimalu() local 5251 GEN z; in val_aux() local 6023 GEN z, cg, v, cga, cgb, s2, K, gs, N; in elllseries() local 6588 elllog(GEN E, GEN a, GEN g, GEN o) in elllog() [all …]
|
/dports/mail/rspamd/rspamd-3.1/contrib/languages-data/ |
H A D | sw.json | 1 …K":12188,"U":3120,"T":5185,"W":4730,"V":1116,"P":2090,"S":3343,"R":1632,"Y":517,"Z":395,"f":11048,… number
|
H A D | en.json | 1 …K":369000,"U":380950,"T":1402307,"W":505824,"V":256072,"Q":51938,"P":880443,"S":1630016,"R":708545… number
|
/dports/mail/rspamd-devel/rspamd-93430bb/contrib/languages-data/ |
H A D | sw.json | 1 …K":12188,"U":3120,"T":5185,"W":4730,"V":1116,"P":2090,"S":3343,"R":1632,"Y":517,"Z":395,"f":11048,… number
|
H A D | en.json | 1 …K":369000,"U":380950,"T":1402307,"W":505824,"V":256072,"Q":51938,"P":880443,"S":1630016,"R":708545… number
|
/dports/biology/paml/paml4.9j/src/ |
H A D | treesub.c | 162 int ConvertSiteJC69like(unsigned char *z[], int ns, int h, unsigned char zh[]) in ConvertSiteJC69like() 1861 int print1seq(FILE*fout, unsigned char *z, int ls, int pose[]) in print1seq() 1884 void printSeqs(FILE *fout, unsigned char *z[], unsigned char *spnames[], int ns, int ls, int npatt,… in printSeqs() 2265 int printsmaCodon(FILE *fout, unsigned char * z[], int ns, int ls, int lline, int simple) in printsmaCodon() 2615 int LSDistance(double *ss, double x[], int(*testx)(double x[], int np)) in LSDistance() 5264 char *K = Kspace, maxK; /* chMark (VV) not used in up pass */ in UpPassScoreOnly() local 5399 char *K = chMark, maxK; /* chMark (VV) not used in up pass */ in UpPass() local 7077 int HessianSKT2004(double xmle[], double lnLm, double g[], double H[]) in HessianSKT2004()
|