1 #include <freehdl/kernel.h>
2 #include <freehdl/std.h>
3 
4 
5 
6 /* package :ieee:std_logic_1164 */
7 /* External declarations */
8 /* End of external declarations */
9 /* Definitions for enumeration type :ieee:std_logic_1164:std_ulogic */
10 class L4ieee_Q14std_logic_1164_I10std_ulogic:public enum_info_base{
11    static const char *values[];
12 public:
L4ieee_Q14std_logic_1164_I10std_ulogic()13    L4ieee_Q14std_logic_1164_I10std_ulogic():enum_info_base(0,8,values) {};
get_values()14    static const char **get_values() { return values; }
low()15    static int low() { return 0; }
high()16    static int high() { return 8; }
left()17    static int left() { return 0; }
right()18    static int right() { return 8; }
19 };
20 extern L4ieee_Q14std_logic_1164_I10std_ulogic L4ieee_Q14std_logic_1164_I10std_ulogic_INFO;
21 extern array_info L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO;
22 #define L4ieee_Q14std_logic_1164_T17std_ulogic_vector array_type<enumeration >
23 #define L4ieee_Q14std_logic_1164_I17std_ulogic_vector array_info
24 
25 /* Prototype for subprogram :ieee:std_logic_1164:resolved */
26 enumeration L4ieee_Q14std_logic_1164_Y8resolved_i32(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
27 /** Enumeration info class :ieee:std_logic_1164:std_logic */
28 class L4ieee_Q14std_logic_1164_I9std_logic:public enum_info_base{
29    static const char **values;
30 public:
L4ieee_Q14std_logic_1164_I9std_logic()31    L4ieee_Q14std_logic_1164_I9std_logic():enum_info_base(0,8,values) {};
get_values()32    static const char **get_values() { return values; }
low()33    static int low() { return 0; }
high()34    static int high() { return 8; }
left()35    static int left() { return 0; }
right()36    static int right() { return 8; }
37 };
38 extern L4ieee_Q14std_logic_1164_I9std_logic L4ieee_Q14std_logic_1164_I9std_logic_INFO;
39 extern array_info L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO;
40 #define L4ieee_Q14std_logic_1164_T16std_logic_vector array_type<enumeration >
41 #define L4ieee_Q14std_logic_1164_I16std_logic_vector array_info
42 
43 /** Enumeration info class :ieee:std_logic_1164:x01 */
44 class L4ieee_Q14std_logic_1164_I3x01:public enum_info_base{
45    static const char **values;
46 public:
L4ieee_Q14std_logic_1164_I3x01()47    L4ieee_Q14std_logic_1164_I3x01():enum_info_base(1,3,values) {};
get_values()48    static const char **get_values() { return values; }
low()49    static int low() { return 1; }
high()50    static int high() { return 3; }
left()51    static int left() { return 1; }
right()52    static int right() { return 3; }
53 };
54 extern L4ieee_Q14std_logic_1164_I3x01 L4ieee_Q14std_logic_1164_I3x01_INFO;
55 /** Enumeration info class :ieee:std_logic_1164:x01z */
56 class L4ieee_Q14std_logic_1164_I4x01z:public enum_info_base{
57    static const char **values;
58 public:
L4ieee_Q14std_logic_1164_I4x01z()59    L4ieee_Q14std_logic_1164_I4x01z():enum_info_base(1,4,values) {};
get_values()60    static const char **get_values() { return values; }
low()61    static int low() { return 1; }
high()62    static int high() { return 4; }
left()63    static int left() { return 1; }
right()64    static int right() { return 4; }
65 };
66 extern L4ieee_Q14std_logic_1164_I4x01z L4ieee_Q14std_logic_1164_I4x01z_INFO;
67 /** Enumeration info class :ieee:std_logic_1164:ux01 */
68 class L4ieee_Q14std_logic_1164_I4ux01:public enum_info_base{
69    static const char **values;
70 public:
L4ieee_Q14std_logic_1164_I4ux01()71    L4ieee_Q14std_logic_1164_I4ux01():enum_info_base(0,3,values) {};
get_values()72    static const char **get_values() { return values; }
low()73    static int low() { return 0; }
high()74    static int high() { return 3; }
left()75    static int left() { return 0; }
right()76    static int right() { return 3; }
77 };
78 extern L4ieee_Q14std_logic_1164_I4ux01 L4ieee_Q14std_logic_1164_I4ux01_INFO;
79 /** Enumeration info class :ieee:std_logic_1164:ux01z */
80 class L4ieee_Q14std_logic_1164_I5ux01z:public enum_info_base{
81    static const char **values;
82 public:
L4ieee_Q14std_logic_1164_I5ux01z()83    L4ieee_Q14std_logic_1164_I5ux01z():enum_info_base(0,4,values) {};
get_values()84    static const char **get_values() { return values; }
low()85    static int low() { return 0; }
high()86    static int high() { return 4; }
left()87    static int left() { return 0; }
right()88    static int right() { return 4; }
89 };
90 extern L4ieee_Q14std_logic_1164_I5ux01z L4ieee_Q14std_logic_1164_I5ux01z_INFO;
91 /* Prototype for subprogram :ieee:std_logic_1164:"and" */
92 enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51(const enumeration ,const enumeration );
93 /* Prototype for subprogram :ieee:std_logic_1164:"nand" */
94 enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54(const enumeration ,const enumeration );
95 /* Prototype for subprogram :ieee:std_logic_1164:"or" */
96 enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57(const enumeration ,const enumeration );
97 /* Prototype for subprogram :ieee:std_logic_1164:"nor" */
98 enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60(const enumeration ,const enumeration );
99 /* Prototype for subprogram :ieee:std_logic_1164:"xor" */
100 enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63(const enumeration ,const enumeration );
101 /* Prototype for subprogram :ieee:std_logic_1164:"not" */
102 enumeration L4ieee_Q14std_logic_1164_Y6op_not_i65(const enumeration );
103 /* Prototype for subprogram :ieee:std_logic_1164:"and" */
104 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_and_i68(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
105 /* Prototype for subprogram :ieee:std_logic_1164:"and" */
106 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_and_i71(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
107 /* Prototype for subprogram :ieee:std_logic_1164:"nand" */
108 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i74(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
109 /* Prototype for subprogram :ieee:std_logic_1164:"nand" */
110 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i77(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
111 /* Prototype for subprogram :ieee:std_logic_1164:"or" */
112 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y5op_or_i80(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
113 /* Prototype for subprogram :ieee:std_logic_1164:"or" */
114 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y5op_or_i83(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
115 /* Prototype for subprogram :ieee:std_logic_1164:"nor" */
116 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i86(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
117 /* Prototype for subprogram :ieee:std_logic_1164:"nor" */
118 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i89(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
119 /* Prototype for subprogram :ieee:std_logic_1164:"xor" */
120 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i92(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
121 /* Prototype for subprogram :ieee:std_logic_1164:"xor" */
122 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i95(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
123 /* Prototype for subprogram :ieee:std_logic_1164:"not" */
124 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_not_i97(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
125 /* Prototype for subprogram :ieee:std_logic_1164:"not" */
126 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_not_i99(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
127 /* Prototype for subprogram :ieee:std_logic_1164:to_bit */
128 enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102(const enumeration ,const enumeration );
129 /* Prototype for subprogram :ieee:std_logic_1164:to_bitvector */
130 L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i105(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const enumeration );
131 /* Prototype for subprogram :ieee:std_logic_1164:to_bitvector */
132 L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i108(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const enumeration );
133 /* Prototype for subprogram :ieee:std_logic_1164:to_stdulogic */
134 enumeration L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110(const enumeration );
135 /* Prototype for subprogram :ieee:std_logic_1164:to_stdlogicvector */
136 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112(const L3std_Q8standard_T10bit_vector &);
137 /* Prototype for subprogram :ieee:std_logic_1164:to_stdlogicvector */
138 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
139 /* Prototype for subprogram :ieee:std_logic_1164:to_stdulogicvector */
140 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116(const L3std_Q8standard_T10bit_vector &);
141 /* Prototype for subprogram :ieee:std_logic_1164:to_stdulogicvector */
142 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
143 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
144 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i120(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
145 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
146 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i122(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
147 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
148 enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i124(const enumeration );
149 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
150 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i126(const L3std_Q8standard_T10bit_vector &);
151 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
152 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i128(const L3std_Q8standard_T10bit_vector &);
153 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
154 enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i130(const enumeration );
155 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
156 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i132(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
157 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
158 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i134(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
159 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
160 enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i136(const enumeration );
161 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
162 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i138(const L3std_Q8standard_T10bit_vector &);
163 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
164 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i140(const L3std_Q8standard_T10bit_vector &);
165 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
166 enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i142(const enumeration );
167 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
168 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i144(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
169 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
170 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i146(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
171 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
172 enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i148(const enumeration );
173 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
174 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i150(const L3std_Q8standard_T10bit_vector &);
175 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
176 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i152(const L3std_Q8standard_T10bit_vector &);
177 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
178 enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i154(const enumeration );
179 /* Prototype for subprogram :ieee:std_logic_1164:rising_edge */
180 enumeration L4ieee_Q14std_logic_1164_Y11rising_edge_i156(sig_info<enumeration> *,enumeration *);
181 /* Prototype for subprogram :ieee:std_logic_1164:falling_edge */
182 enumeration L4ieee_Q14std_logic_1164_Y12falling_edge_i158(sig_info<enumeration> *,enumeration *);
183 /* Prototype for subprogram :ieee:std_logic_1164:is_x */
184 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i160(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
185 /* Prototype for subprogram :ieee:std_logic_1164:is_x */
186 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i162(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
187 /* Prototype for subprogram :ieee:std_logic_1164:is_x */
188 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i164(const enumeration );
189 /* Implementation of enumeration type :ieee:std_logic_1164:std_ulogic */
190 const char *L4ieee_Q14std_logic_1164_I10std_ulogic::values[]={"'U'","'X'","'0'","'1'","'Z'","'W'","'L'","'H'","'-'"};
191 L4ieee_Q14std_logic_1164_I10std_ulogic L4ieee_Q14std_logic_1164_I10std_ulogic_INFO;
192 L4ieee_Q14std_logic_1164_I17std_ulogic_vector L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO;
193 /* Implementation of enumeration type :ieee:std_logic_1164:std_logic */
194 const char **L4ieee_Q14std_logic_1164_I9std_logic::values=((enum_info_base&)L4ieee_Q14std_logic_1164_I9std_logic_INFO).values=&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO.get_values()[enumeration(0)];
195 L4ieee_Q14std_logic_1164_I9std_logic L4ieee_Q14std_logic_1164_I9std_logic_INFO;
196 L4ieee_Q14std_logic_1164_I16std_logic_vector L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO;
197 /* Implementation of enumeration type :ieee:std_logic_1164:x01 */
198 const char **L4ieee_Q14std_logic_1164_I3x01::values=((enum_info_base&)L4ieee_Q14std_logic_1164_I3x01_INFO).values=&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO.get_values()[enumeration(1)];
199 L4ieee_Q14std_logic_1164_I3x01 L4ieee_Q14std_logic_1164_I3x01_INFO;
200 /* Implementation of enumeration type :ieee:std_logic_1164:x01z */
201 const char **L4ieee_Q14std_logic_1164_I4x01z::values=((enum_info_base&)L4ieee_Q14std_logic_1164_I4x01z_INFO).values=&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO.get_values()[enumeration(1)];
202 L4ieee_Q14std_logic_1164_I4x01z L4ieee_Q14std_logic_1164_I4x01z_INFO;
203 /* Implementation of enumeration type :ieee:std_logic_1164:ux01 */
204 const char **L4ieee_Q14std_logic_1164_I4ux01::values=((enum_info_base&)L4ieee_Q14std_logic_1164_I4ux01_INFO).values=&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO.get_values()[enumeration(0)];
205 L4ieee_Q14std_logic_1164_I4ux01 L4ieee_Q14std_logic_1164_I4ux01_INFO;
206 /* Implementation of enumeration type :ieee:std_logic_1164:ux01z */
207 const char **L4ieee_Q14std_logic_1164_I5ux01z::values=((enum_info_base&)L4ieee_Q14std_logic_1164_I5ux01z_INFO).values=&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO.get_values()[enumeration(0)];
208 L4ieee_Q14std_logic_1164_I5ux01z L4ieee_Q14std_logic_1164_I5ux01z_INFO;
209 /* Initialization function for package :ieee:std_logic_1164 */
210 int L3std_Q8standard_init ();
211 bool L4ieee_Q14std_logic_1164_init_done = false;
L4ieee_Q14std_logic_1164_init()212 int L4ieee_Q14std_logic_1164_init(){
213 if (L4ieee_Q14std_logic_1164_init_done) return 1;
214 L4ieee_Q14std_logic_1164_init_done=true;
215 L3std_Q8standard_init ();
216 register_source_file("/home/stefan/cvs-local/freehdl-teaser/ieee/std_logic_1164.vhdl","std_logic_1164.vhdl");
217 name_stack iname;
218 iname.push("");
219 void *sref=register_package(":ieee",":std_logic_1164");
220 L4ieee_Q14std_logic_1164_I10std_ulogic_INFO.register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:std_ulogic",":std_ulogic",NULL);
221 L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO.set((&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),(&L3std_Q8standard_I7natural_INFO),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:std_ulogic_vector",":std_ulogic_vector",NULL);
222 L4ieee_Q14std_logic_1164_I9std_logic_INFO.set((&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO)).add_resolver(resolver_handler<enumeration,L4ieee_Q14std_logic_1164_Y8resolved_i32>,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),true).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:std_logic",":std_logic",NULL);
223 L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7natural_INFO),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:std_logic_vector",":std_logic_vector",NULL);
224 L4ieee_Q14std_logic_1164_I3x01_INFO.register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:x01",":X01",NULL);
225 L4ieee_Q14std_logic_1164_I4x01z_INFO.register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:x01z",":X01Z",NULL);
226 L4ieee_Q14std_logic_1164_I4ux01_INFO.register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:ux01",":UX01",NULL);
227 L4ieee_Q14std_logic_1164_I5ux01z_INFO.register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:ux01z",":UX01Z",NULL);
228 iname.pop();
229 handle_info *h = get_handle ("ieee","std_logic_1164",NULL);
230 if (h != NULL) (*h->init_function) ();
231 return 1;
232 }
233 
234 /* end of package :ieee:std_logic_1164 */
235 
236 /* package body :ieee:std_logic_1164 */
237 /* External declarations */
238 /* End of external declarations */
239 extern array_info L4ieee_W14std_logic_1164_I11stdlogic_1d_INFO;
240 #define L4ieee_W14std_logic_1164_T11stdlogic_1d array_type<enumeration >
241 #define L4ieee_W14std_logic_1164_I11stdlogic_1d array_info
242 
243 extern array_info L4ieee_W14std_logic_1164_I14stdlogic_table_INFO;
244 #define L4ieee_W14std_logic_1164_T14stdlogic_table array_type<array_type<enumeration > >
245 #define L4ieee_W14std_logic_1164_I14stdlogic_table array_info
246 
247 /* Prototype for subprogram :ieee:std_logic_1164:resolved */
248 enumeration L4ieee_Q14std_logic_1164_Y8resolved_i32(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
249 /* Prototype for subprogram :ieee:std_logic_1164:"and" */
250 enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51(const enumeration ,const enumeration );
251 /* Prototype for subprogram :ieee:std_logic_1164:"nand" */
252 enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54(const enumeration ,const enumeration );
253 /* Prototype for subprogram :ieee:std_logic_1164:"or" */
254 enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57(const enumeration ,const enumeration );
255 /* Prototype for subprogram :ieee:std_logic_1164:"nor" */
256 enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60(const enumeration ,const enumeration );
257 /* Prototype for subprogram :ieee:std_logic_1164:"xor" */
258 enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63(const enumeration ,const enumeration );
259 /* Prototype for subprogram :ieee:std_logic_1164:"not" */
260 enumeration L4ieee_Q14std_logic_1164_Y6op_not_i65(const enumeration );
261 /* Prototype for subprogram :ieee:std_logic_1164:"and" */
262 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_and_i68(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
263 /* Prototype for subprogram :ieee:std_logic_1164:"and" */
264 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_and_i71(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
265 /* Prototype for subprogram :ieee:std_logic_1164:"nand" */
266 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i74(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
267 /* Prototype for subprogram :ieee:std_logic_1164:"nand" */
268 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i77(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
269 /* Prototype for subprogram :ieee:std_logic_1164:"or" */
270 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y5op_or_i80(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
271 /* Prototype for subprogram :ieee:std_logic_1164:"or" */
272 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y5op_or_i83(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
273 /* Prototype for subprogram :ieee:std_logic_1164:"nor" */
274 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i86(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
275 /* Prototype for subprogram :ieee:std_logic_1164:"nor" */
276 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i89(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
277 /* Prototype for subprogram :ieee:std_logic_1164:"xor" */
278 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i92(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
279 /* Prototype for subprogram :ieee:std_logic_1164:"xor" */
280 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i95(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
281 /* Prototype for subprogram :ieee:std_logic_1164:"not" */
282 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_not_i97(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
283 /* Prototype for subprogram :ieee:std_logic_1164:"not" */
284 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_not_i99(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
285 extern array_info L4ieee_W14std_logic_1164_I15logic_x01_table_INFO;
286 #define L4ieee_W14std_logic_1164_T15logic_x01_table array_type<enumeration >
287 #define L4ieee_W14std_logic_1164_I15logic_x01_table array_info
288 
289 extern array_info L4ieee_W14std_logic_1164_I16logic_x01z_table_INFO;
290 #define L4ieee_W14std_logic_1164_T16logic_x01z_table array_type<enumeration >
291 #define L4ieee_W14std_logic_1164_I16logic_x01z_table array_info
292 
293 extern array_info L4ieee_W14std_logic_1164_I16logic_ux01_table_INFO;
294 #define L4ieee_W14std_logic_1164_T16logic_ux01_table array_type<enumeration >
295 #define L4ieee_W14std_logic_1164_I16logic_ux01_table array_info
296 
297 /* Prototype for subprogram :ieee:std_logic_1164:to_bit */
298 enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102(const enumeration ,const enumeration );
299 /* Prototype for subprogram :ieee:std_logic_1164:to_bitvector */
300 L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i105(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const enumeration );
301 /* Prototype for subprogram :ieee:std_logic_1164:to_bitvector */
302 L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i108(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const enumeration );
303 /* Prototype for subprogram :ieee:std_logic_1164:to_stdulogic */
304 enumeration L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110(const enumeration );
305 /* Prototype for subprogram :ieee:std_logic_1164:to_stdlogicvector */
306 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112(const L3std_Q8standard_T10bit_vector &);
307 /* Prototype for subprogram :ieee:std_logic_1164:to_stdlogicvector */
308 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
309 /* Prototype for subprogram :ieee:std_logic_1164:to_stdulogicvector */
310 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116(const L3std_Q8standard_T10bit_vector &);
311 /* Prototype for subprogram :ieee:std_logic_1164:to_stdulogicvector */
312 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
313 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
314 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i120(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
315 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
316 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i122(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
317 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
318 enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i124(const enumeration );
319 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
320 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i126(const L3std_Q8standard_T10bit_vector &);
321 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
322 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i128(const L3std_Q8standard_T10bit_vector &);
323 /* Prototype for subprogram :ieee:std_logic_1164:to_x01 */
324 enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i130(const enumeration );
325 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
326 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i132(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
327 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
328 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i134(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
329 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
330 enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i136(const enumeration );
331 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
332 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i138(const L3std_Q8standard_T10bit_vector &);
333 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
334 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i140(const L3std_Q8standard_T10bit_vector &);
335 /* Prototype for subprogram :ieee:std_logic_1164:to_x01z */
336 enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i142(const enumeration );
337 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
338 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i144(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
339 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
340 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i146(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
341 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
342 enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i148(const enumeration );
343 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
344 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i150(const L3std_Q8standard_T10bit_vector &);
345 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
346 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i152(const L3std_Q8standard_T10bit_vector &);
347 /* Prototype for subprogram :ieee:std_logic_1164:to_ux01 */
348 enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i154(const enumeration );
349 /* Prototype for subprogram :ieee:std_logic_1164:rising_edge */
350 enumeration L4ieee_Q14std_logic_1164_Y11rising_edge_i156(sig_info<enumeration> *,enumeration *);
351 /* Prototype for subprogram :ieee:std_logic_1164:falling_edge */
352 enumeration L4ieee_Q14std_logic_1164_Y12falling_edge_i158(sig_info<enumeration> *,enumeration *);
353 /* Prototype for subprogram :ieee:std_logic_1164:is_x */
354 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i160(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
355 /* Prototype for subprogram :ieee:std_logic_1164:is_x */
356 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i162(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
357 /* Prototype for subprogram :ieee:std_logic_1164:is_x */
358 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i164(const enumeration );
359 L4ieee_W14std_logic_1164_T14stdlogic_table L4ieee_W14std_logic_1164_C16resolution_table,L4ieee_W14std_logic_1164_C9and_table,L4ieee_W14std_logic_1164_C8or_table,L4ieee_W14std_logic_1164_C9xor_table;
360 L4ieee_W14std_logic_1164_T11stdlogic_1d L4ieee_W14std_logic_1164_C9not_table;
361 L4ieee_W14std_logic_1164_T15logic_x01_table L4ieee_W14std_logic_1164_C10cvt_to_x01;
362 L4ieee_W14std_logic_1164_T16logic_x01z_table L4ieee_W14std_logic_1164_C11cvt_to_x01z;
363 L4ieee_W14std_logic_1164_T16logic_ux01_table L4ieee_W14std_logic_1164_C11cvt_to_ux01;
364 L4ieee_W14std_logic_1164_I11stdlogic_1d L4ieee_W14std_logic_1164_I11stdlogic_1d_INFO;
365 L4ieee_W14std_logic_1164_I14stdlogic_table L4ieee_W14std_logic_1164_I14stdlogic_table_INFO;
366 /* Implementation of subprogram :ieee:std_logic_1164:resolved */
L4ieee_Q14std_logic_1164_Y8resolved_i32(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s)367 enumeration L4ieee_Q14std_logic_1164_Y8resolved_i32(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s)
368 {
369     enumeration L4ieee_Q14std_logic_1164_Y8resolved_i32_V6result;
370     integer L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i,L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_lc,L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_ls;
371     L4ieee_Q14std_logic_1164_Y8resolved_i32_V6result=enumeration(4);
372     if((L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->length==1)) {
373       return L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s[min(L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->right_bound)];
374     } else {
375       for (L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i=L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_ls=L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->index_direction==to?+1:-1,L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_lc=range_to_length<integer>(L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->index_direction,L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s.info->right_bound);L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i+=L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_ls,L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i_lc--) {
376         L4ieee_Q14std_logic_1164_Y8resolved_i32_V6result=L4ieee_W14std_logic_1164_C16resolution_table[L4ieee_Q14std_logic_1164_Y8resolved_i32_V6result][L4ieee_Q14std_logic_1164_Y8resolved_i32_C1s[L4ieee_Q14std_logic_1164_Y8resolved_i32_L422ln_C1i]];
377       }
378     }
379     return L4ieee_Q14std_logic_1164_Y8resolved_i32_V6result;
380 }
381 /* Implementation of subprogram :ieee:std_logic_1164:"and" */
L4ieee_Q14std_logic_1164_Y6op_and_i51(const enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51_C1l,const enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51_C1r)382 enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51(const enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51_C1l,const enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51_C1r)
383 {
384     return L4ieee_W14std_logic_1164_C9and_table[L4ieee_Q14std_logic_1164_Y6op_and_i51_C1l][L4ieee_Q14std_logic_1164_Y6op_and_i51_C1r];
385 }
386 /* Implementation of subprogram :ieee:std_logic_1164:"nand" */
L4ieee_Q14std_logic_1164_Y7op_nand_i54(const enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54_C1l,const enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54_C1r)387 enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54(const enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54_C1l,const enumeration L4ieee_Q14std_logic_1164_Y7op_nand_i54_C1r)
388 {
389     return L4ieee_W14std_logic_1164_C9not_table[L4ieee_W14std_logic_1164_C9and_table[L4ieee_Q14std_logic_1164_Y7op_nand_i54_C1l][L4ieee_Q14std_logic_1164_Y7op_nand_i54_C1r]];
390 }
391 /* Implementation of subprogram :ieee:std_logic_1164:"or" */
L4ieee_Q14std_logic_1164_Y5op_or_i57(const enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57_C1l,const enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57_C1r)392 enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57(const enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57_C1l,const enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57_C1r)
393 {
394     return L4ieee_W14std_logic_1164_C8or_table[L4ieee_Q14std_logic_1164_Y5op_or_i57_C1l][L4ieee_Q14std_logic_1164_Y5op_or_i57_C1r];
395 }
396 /* Implementation of subprogram :ieee:std_logic_1164:"nor" */
L4ieee_Q14std_logic_1164_Y6op_nor_i60(const enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60_C1l,const enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60_C1r)397 enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60(const enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60_C1l,const enumeration L4ieee_Q14std_logic_1164_Y6op_nor_i60_C1r)
398 {
399     return L4ieee_W14std_logic_1164_C9not_table[L4ieee_W14std_logic_1164_C8or_table[L4ieee_Q14std_logic_1164_Y6op_nor_i60_C1l][L4ieee_Q14std_logic_1164_Y6op_nor_i60_C1r]];
400 }
401 /* Implementation of subprogram :ieee:std_logic_1164:"xor" */
L4ieee_Q14std_logic_1164_Y6op_xor_i63(const enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63_C1l,const enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63_C1r)402 enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63(const enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63_C1l,const enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63_C1r)
403 {
404     return L4ieee_W14std_logic_1164_C9xor_table[L4ieee_Q14std_logic_1164_Y6op_xor_i63_C1l][L4ieee_Q14std_logic_1164_Y6op_xor_i63_C1r];
405 }
406 /* Implementation of subprogram :ieee:std_logic_1164:"not" */
L4ieee_Q14std_logic_1164_Y6op_not_i65(const enumeration L4ieee_Q14std_logic_1164_Y6op_not_i65_C1l)407 enumeration L4ieee_Q14std_logic_1164_Y6op_not_i65(const enumeration L4ieee_Q14std_logic_1164_Y6op_not_i65_C1l)
408 {
409     return L4ieee_W14std_logic_1164_C9not_table[L4ieee_Q14std_logic_1164_Y6op_not_i65_C1l];
410 }
411 enumeration L4ieee_W14std_logic_1164_itn33_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,97,110,100,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
412 /* Implementation of subprogram :ieee:std_logic_1164:"and" */
L4ieee_Q14std_logic_1164_Y6op_and_i68(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_and_i68_C1r)413 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_and_i68(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_and_i68_C1r)
414 {
415     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y6op_and_i68_H2lv,L4ieee_Q14std_logic_1164_Y6op_and_i68_H2rv;
416     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_and_i68_V6result;
417     integer L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i,L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i_lc;
418     L4ieee_Q14std_logic_1164_Y6op_and_i68_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l.data);
419     L4ieee_Q14std_logic_1164_Y6op_and_i68_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_and_i68_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_and_i68_C1r.data);
420     L4ieee_Q14std_logic_1164_Y6op_and_i68_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l.info->length,0)),enumeration(0));
421     if((L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l.info->length!=L4ieee_Q14std_logic_1164_Y6op_and_i68_C1r.info->length)) {
422       if(!enumeration(0))
423         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+64,0),L4ieee_W14std_logic_1164_itn33_lit),enumeration(3));
424     } else {
425       for (L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_and_i68_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i_lc--) {
426         L4ieee_Q14std_logic_1164_Y6op_and_i68_V6result[L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i]=L4ieee_W14std_logic_1164_C9and_table[L4ieee_Q14std_logic_1164_Y6op_and_i68_H2lv[L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i]][L4ieee_Q14std_logic_1164_Y6op_and_i68_H2rv[L4ieee_Q14std_logic_1164_Y6op_and_i68_L451ln_C1i]];
427       }
428     }
429     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_and_i68_V6result);
430 }
431 enumeration L4ieee_W14std_logic_1164_itn34_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,97,110,100,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
432 /* Implementation of subprogram :ieee:std_logic_1164:"and" */
L4ieee_Q14std_logic_1164_Y6op_and_i71(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_and_i71_C1r)433 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_and_i71(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_and_i71_C1r)
434 {
435     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y6op_and_i71_H2lv,L4ieee_Q14std_logic_1164_Y6op_and_i71_H2rv;
436     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_and_i71_V6result;
437     integer L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i,L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i_lc;
438     L4ieee_Q14std_logic_1164_Y6op_and_i71_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l.data);
439     L4ieee_Q14std_logic_1164_Y6op_and_i71_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_and_i71_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_and_i71_C1r.data);
440     L4ieee_Q14std_logic_1164_Y6op_and_i71_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l.info->length,0)),enumeration(0));
441     if((L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l.info->length!=L4ieee_Q14std_logic_1164_Y6op_and_i71_C1r.info->length)) {
442       if(!enumeration(0))
443         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+64,0),L4ieee_W14std_logic_1164_itn34_lit),enumeration(3));
444     } else {
445       for (L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_and_i71_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i_lc--) {
446         L4ieee_Q14std_logic_1164_Y6op_and_i71_V6result[L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i]=L4ieee_W14std_logic_1164_C9and_table[L4ieee_Q14std_logic_1164_Y6op_and_i71_H2lv[L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i]][L4ieee_Q14std_logic_1164_Y6op_and_i71_H2rv[L4ieee_Q14std_logic_1164_Y6op_and_i71_L459ln_C1i]];
447       }
448     }
449     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_and_i71_V6result);
450 }
451 enumeration L4ieee_W14std_logic_1164_itn35_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,110,97,110,100,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
452 /* Implementation of subprogram :ieee:std_logic_1164:"nand" */
L4ieee_Q14std_logic_1164_Y7op_nand_i74(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1r)453 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i74(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1r)
454 {
455     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y7op_nand_i74_H2lv,L4ieee_Q14std_logic_1164_Y7op_nand_i74_H2rv;
456     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i74_V6result;
457     integer L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i,L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i_lc;
458     L4ieee_Q14std_logic_1164_Y7op_nand_i74_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l.data);
459     L4ieee_Q14std_logic_1164_Y7op_nand_i74_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1r.data);
460     L4ieee_Q14std_logic_1164_Y7op_nand_i74_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l.info->length,0)),enumeration(0));
461     if((L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l.info->length!=L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1r.info->length)) {
462       if(!enumeration(0))
463         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+65,0),L4ieee_W14std_logic_1164_itn35_lit),enumeration(3));
464     } else {
465       for (L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i=1,L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7op_nand_i74_C1l.info->length); L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i++,L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i_lc--) {
466         L4ieee_Q14std_logic_1164_Y7op_nand_i74_V6result[L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i]=L4ieee_W14std_logic_1164_C9not_table[L4ieee_W14std_logic_1164_C9and_table[L4ieee_Q14std_logic_1164_Y7op_nand_i74_H2lv[L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i]][L4ieee_Q14std_logic_1164_Y7op_nand_i74_H2rv[L4ieee_Q14std_logic_1164_Y7op_nand_i74_L467ln_C1i]]];
467       }
468     }
469     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y7op_nand_i74_V6result);
470 }
471 enumeration L4ieee_W14std_logic_1164_itn36_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,110,97,110,100,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
472 /* Implementation of subprogram :ieee:std_logic_1164:"nand" */
L4ieee_Q14std_logic_1164_Y7op_nand_i77(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1r)473 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i77(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1r)
474 {
475     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y7op_nand_i77_H2lv,L4ieee_Q14std_logic_1164_Y7op_nand_i77_H2rv;
476     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7op_nand_i77_V6result;
477     integer L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i,L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i_lc;
478     L4ieee_Q14std_logic_1164_Y7op_nand_i77_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l.data);
479     L4ieee_Q14std_logic_1164_Y7op_nand_i77_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1r.data);
480     L4ieee_Q14std_logic_1164_Y7op_nand_i77_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l.info->length,0)),enumeration(0));
481     if((L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l.info->length!=L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1r.info->length)) {
482       if(!enumeration(0))
483         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+65,0),L4ieee_W14std_logic_1164_itn36_lit),enumeration(3));
484     } else {
485       for (L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i=1,L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7op_nand_i77_C1l.info->length); L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i++,L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i_lc--) {
486         L4ieee_Q14std_logic_1164_Y7op_nand_i77_V6result[L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i]=L4ieee_W14std_logic_1164_C9not_table[L4ieee_W14std_logic_1164_C9and_table[L4ieee_Q14std_logic_1164_Y7op_nand_i77_H2lv[L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i]][L4ieee_Q14std_logic_1164_Y7op_nand_i77_H2rv[L4ieee_Q14std_logic_1164_Y7op_nand_i77_L475ln_C1i]]];
487       }
488     }
489     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y7op_nand_i77_V6result);
490 }
491 enumeration L4ieee_W14std_logic_1164_itn37_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,111,114,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
492 /* Implementation of subprogram :ieee:std_logic_1164:"or" */
L4ieee_Q14std_logic_1164_Y5op_or_i80(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y5op_or_i80_C1r)493 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y5op_or_i80(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y5op_or_i80_C1r)
494 {
495     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y5op_or_i80_H2lv,L4ieee_Q14std_logic_1164_Y5op_or_i80_H2rv;
496     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y5op_or_i80_V6result;
497     integer L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i,L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i_lc;
498     L4ieee_Q14std_logic_1164_Y5op_or_i80_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l.data);
499     L4ieee_Q14std_logic_1164_Y5op_or_i80_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y5op_or_i80_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y5op_or_i80_C1r.data);
500     L4ieee_Q14std_logic_1164_Y5op_or_i80_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l.info->length,0)),enumeration(0));
501     if((L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l.info->length!=L4ieee_Q14std_logic_1164_Y5op_or_i80_C1r.info->length)) {
502       if(!enumeration(0))
503         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+63,0),L4ieee_W14std_logic_1164_itn37_lit),enumeration(3));
504     } else {
505       for (L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i=1,L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y5op_or_i80_C1l.info->length); L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i++,L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i_lc--) {
506         L4ieee_Q14std_logic_1164_Y5op_or_i80_V6result[L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i]=L4ieee_W14std_logic_1164_C8or_table[L4ieee_Q14std_logic_1164_Y5op_or_i80_H2lv[L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i]][L4ieee_Q14std_logic_1164_Y5op_or_i80_H2rv[L4ieee_Q14std_logic_1164_Y5op_or_i80_L483ln_C1i]];
507       }
508     }
509     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y5op_or_i80_V6result);
510 }
511 enumeration L4ieee_W14std_logic_1164_itn38_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,111,114,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
512 /* Implementation of subprogram :ieee:std_logic_1164:"or" */
L4ieee_Q14std_logic_1164_Y5op_or_i83(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y5op_or_i83_C1r)513 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y5op_or_i83(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y5op_or_i83_C1r)
514 {
515     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y5op_or_i83_H2lv,L4ieee_Q14std_logic_1164_Y5op_or_i83_H2rv;
516     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y5op_or_i83_V6result;
517     integer L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i,L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i_lc;
518     L4ieee_Q14std_logic_1164_Y5op_or_i83_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l.data);
519     L4ieee_Q14std_logic_1164_Y5op_or_i83_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y5op_or_i83_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y5op_or_i83_C1r.data);
520     L4ieee_Q14std_logic_1164_Y5op_or_i83_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l.info->length,0)),enumeration(0));
521     if((L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l.info->length!=L4ieee_Q14std_logic_1164_Y5op_or_i83_C1r.info->length)) {
522       if(!enumeration(0))
523         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+63,0),L4ieee_W14std_logic_1164_itn38_lit),enumeration(3));
524     } else {
525       for (L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i=1,L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y5op_or_i83_C1l.info->length); L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i++,L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i_lc--) {
526         L4ieee_Q14std_logic_1164_Y5op_or_i83_V6result[L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i]=L4ieee_W14std_logic_1164_C8or_table[L4ieee_Q14std_logic_1164_Y5op_or_i83_H2lv[L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i]][L4ieee_Q14std_logic_1164_Y5op_or_i83_H2rv[L4ieee_Q14std_logic_1164_Y5op_or_i83_L491ln_C1i]];
527       }
528     }
529     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y5op_or_i83_V6result);
530 }
531 enumeration L4ieee_W14std_logic_1164_itn39_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,110,111,114,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
532 /* Implementation of subprogram :ieee:std_logic_1164:"nor" */
L4ieee_Q14std_logic_1164_Y6op_nor_i86(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1r)533 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i86(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1r)
534 {
535     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y6op_nor_i86_H2lv,L4ieee_Q14std_logic_1164_Y6op_nor_i86_H2rv;
536     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i86_V6result;
537     integer L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i,L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i_lc;
538     L4ieee_Q14std_logic_1164_Y6op_nor_i86_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l.data);
539     L4ieee_Q14std_logic_1164_Y6op_nor_i86_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1r.data);
540     L4ieee_Q14std_logic_1164_Y6op_nor_i86_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l.info->length,0)),enumeration(0));
541     if((L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l.info->length!=L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1r.info->length)) {
542       if(!enumeration(0))
543         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+64,0),L4ieee_W14std_logic_1164_itn39_lit),enumeration(3));
544     } else {
545       for (L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_nor_i86_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i_lc--) {
546         L4ieee_Q14std_logic_1164_Y6op_nor_i86_V6result[L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i]=L4ieee_W14std_logic_1164_C9not_table[L4ieee_W14std_logic_1164_C8or_table[L4ieee_Q14std_logic_1164_Y6op_nor_i86_H2lv[L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i]][L4ieee_Q14std_logic_1164_Y6op_nor_i86_H2rv[L4ieee_Q14std_logic_1164_Y6op_nor_i86_L499ln_C1i]]];
547       }
548     }
549     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_nor_i86_V6result);
550 }
551 enumeration L4ieee_W14std_logic_1164_itn40_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,110,111,114,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
552 /* Implementation of subprogram :ieee:std_logic_1164:"nor" */
L4ieee_Q14std_logic_1164_Y6op_nor_i89(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1r)553 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i89(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1r)
554 {
555     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y6op_nor_i89_H2lv,L4ieee_Q14std_logic_1164_Y6op_nor_i89_H2rv;
556     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_nor_i89_V6result;
557     integer L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i,L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i_lc;
558     L4ieee_Q14std_logic_1164_Y6op_nor_i89_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l.data);
559     L4ieee_Q14std_logic_1164_Y6op_nor_i89_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1r.data);
560     L4ieee_Q14std_logic_1164_Y6op_nor_i89_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l.info->length,0)),enumeration(0));
561     if((L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l.info->length!=L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1r.info->length)) {
562       if(!enumeration(0))
563         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+64,0),L4ieee_W14std_logic_1164_itn40_lit),enumeration(3));
564     } else {
565       for (L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_nor_i89_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i_lc--) {
566         L4ieee_Q14std_logic_1164_Y6op_nor_i89_V6result[L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i]=L4ieee_W14std_logic_1164_C9not_table[L4ieee_W14std_logic_1164_C8or_table[L4ieee_Q14std_logic_1164_Y6op_nor_i89_H2lv[L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i]][L4ieee_Q14std_logic_1164_Y6op_nor_i89_H2rv[L4ieee_Q14std_logic_1164_Y6op_nor_i89_L5107ln_C1i]]];
567       }
568     }
569     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_nor_i89_V6result);
570 }
571 enumeration L4ieee_W14std_logic_1164_itn41_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,120,111,114,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
572 /* Implementation of subprogram :ieee:std_logic_1164:"xor" */
L4ieee_Q14std_logic_1164_Y6op_xor_i92(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1r)573 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i92(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l,const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1r)
574 {
575     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y6op_xor_i92_H2lv,L4ieee_Q14std_logic_1164_Y6op_xor_i92_H2rv;
576     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i92_V6result;
577     integer L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i,L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i_lc;
578     L4ieee_Q14std_logic_1164_Y6op_xor_i92_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l.data);
579     L4ieee_Q14std_logic_1164_Y6op_xor_i92_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1r.data);
580     L4ieee_Q14std_logic_1164_Y6op_xor_i92_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l.info->length,0)),enumeration(0));
581     if((L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l.info->length!=L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1r.info->length)) {
582       if(!enumeration(0))
583         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+64,0),L4ieee_W14std_logic_1164_itn41_lit),enumeration(3));
584     } else {
585       for (L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_xor_i92_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i_lc--) {
586         L4ieee_Q14std_logic_1164_Y6op_xor_i92_V6result[L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i]=L4ieee_W14std_logic_1164_C9xor_table[L4ieee_Q14std_logic_1164_Y6op_xor_i92_H2lv[L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i]][L4ieee_Q14std_logic_1164_Y6op_xor_i92_H2rv[L4ieee_Q14std_logic_1164_Y6op_xor_i92_L5115ln_C1i]];
587       }
588     }
589     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_xor_i92_V6result);
590 }
591 enumeration L4ieee_W14std_logic_1164_itn42_lit[]={97,114,103,117,109,101,110,116,115,32,111,102,32,111,118,101,114,108,111,97,100,101,100,32,39,120,111,114,39,32,111,112,101,114,97,116,111,114,32,97,114,101,32,110,111,116,32,111,102,32,116,104,101,32,115,97,109,101,32,108,101,110,103,116,104};
592 /* Implementation of subprogram :ieee:std_logic_1164:"xor" */
L4ieee_Q14std_logic_1164_Y6op_xor_i95(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1r)593 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i95(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1r)
594 {
595     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y6op_xor_i95_H2lv,L4ieee_Q14std_logic_1164_Y6op_xor_i95_H2rv;
596     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_xor_i95_V6result;
597     integer L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i,L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i_lc;
598     L4ieee_Q14std_logic_1164_Y6op_xor_i95_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l.data);
599     L4ieee_Q14std_logic_1164_Y6op_xor_i95_H2rv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1r.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1r.data);
600     L4ieee_Q14std_logic_1164_Y6op_xor_i95_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l.info->length,0)),enumeration(0));
601     if((L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l.info->length!=L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1r.info->length)) {
602       if(!enumeration(0))
603         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+64,0),L4ieee_W14std_logic_1164_itn42_lit),enumeration(3));
604     } else {
605       for (L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_xor_i95_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i_lc--) {
606         L4ieee_Q14std_logic_1164_Y6op_xor_i95_V6result[L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i]=L4ieee_W14std_logic_1164_C9xor_table[L4ieee_Q14std_logic_1164_Y6op_xor_i95_H2lv[L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i]][L4ieee_Q14std_logic_1164_Y6op_xor_i95_H2rv[L4ieee_Q14std_logic_1164_Y6op_xor_i95_L5123ln_C1i]];
607       }
608     }
609     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_xor_i95_V6result);
610 }
611 /* Implementation of subprogram :ieee:std_logic_1164:"not" */
L4ieee_Q14std_logic_1164_Y6op_not_i97(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l)612 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_not_i97(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l)
613 {
614     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y6op_not_i97_H2lv;
615     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6op_not_i97_V6result;
616     integer L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i,L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i_lc;
617     L4ieee_Q14std_logic_1164_Y6op_not_i97_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.data);
618     L4ieee_Q14std_logic_1164_Y6op_not_i97_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.info->length,0)),enumeration(0));
619     L4ieee_Q14std_logic_1164_Y6op_not_i97_V6result=L4ieee_Q14std_logic_1164_T16std_logic_vector(new array_info((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.info->length,0))->element_type,(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.info->length,0))->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.info->length,0),enumeration(1));
620     for (L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_not_i97_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i_lc--) {
621       L4ieee_Q14std_logic_1164_Y6op_not_i97_V6result[L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i]=L4ieee_W14std_logic_1164_C9not_table[L4ieee_Q14std_logic_1164_Y6op_not_i97_H2lv[L4ieee_Q14std_logic_1164_Y6op_not_i97_L5129ln_C1i]];
622     }
623     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_not_i97_V6result);
624 }
625 /* Implementation of subprogram :ieee:std_logic_1164:"not" */
L4ieee_Q14std_logic_1164_Y6op_not_i99(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l)626 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_not_i99(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l)
627 {
628     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y6op_not_i99_H2lv;
629     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_not_i99_V6result;
630     integer L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i,L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i_lc;
631     L4ieee_Q14std_logic_1164_Y6op_not_i99_H2lv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.info->length,0)), L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.data);
632     L4ieee_Q14std_logic_1164_Y6op_not_i99_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.info->length,0)),enumeration(0));
633     L4ieee_Q14std_logic_1164_Y6op_not_i99_V6result=L4ieee_Q14std_logic_1164_T17std_ulogic_vector(new array_info((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.info->length,0))->element_type,(new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.info->length,0))->index_type,1,to,L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.info->length,0),enumeration(1));
634     for (L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i=1,L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6op_not_i99_C1l.info->length); L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i++,L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i_lc--) {
635       L4ieee_Q14std_logic_1164_Y6op_not_i99_V6result[L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i]=L4ieee_W14std_logic_1164_C9not_table[L4ieee_Q14std_logic_1164_Y6op_not_i99_H2lv[L4ieee_Q14std_logic_1164_Y6op_not_i99_L5135ln_C1i]];
636     }
637     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y6op_not_i99_V6result);
638 }
639 L4ieee_W14std_logic_1164_I15logic_x01_table L4ieee_W14std_logic_1164_I15logic_x01_table_INFO;
640 L4ieee_W14std_logic_1164_I16logic_x01z_table L4ieee_W14std_logic_1164_I16logic_x01z_table_INFO;
641 L4ieee_W14std_logic_1164_I16logic_ux01_table L4ieee_W14std_logic_1164_I16logic_ux01_table_INFO;
642 /* Implementation of subprogram :ieee:std_logic_1164:to_bit */
L4ieee_Q14std_logic_1164_Y6to_bit_i102(const enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102_C1s,const enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102_C4xmap)643 enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102(const enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102_C1s,const enumeration L4ieee_Q14std_logic_1164_Y6to_bit_i102_C4xmap)
644 {
645     switch(L4ieee_Q14std_logic_1164_Y6to_bit_i102_C1s) {
646     case 2: case 6: {
647       return enumeration(0);
648      } break;
649     case 3: case 7: {
650       return enumeration(1);
651      } break;
652     default: {
653       return L4ieee_Q14std_logic_1164_Y6to_bit_i102_C4xmap;
654      } break;
655     }
656 }
657 /* Implementation of subprogram :ieee:std_logic_1164:to_bitvector */
L4ieee_Q14std_logic_1164_Y12to_bitvector_i105(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s,const enumeration L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C4xmap)658 L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i105(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s,const enumeration L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C4xmap)
659 {
660     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_H2sv;
661     L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_V6result;
662     integer L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i,L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i_lc;
663     L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s.info->length-1),downto,0,0)), L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s.data);
664     L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_V6result.init((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s.info->length-1),downto,0,0)),enumeration(0));
665     for (L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i=(L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s.info->length-1),L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i_lc=down_range_to_length<integer>((L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C1s.info->length-1),0); L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i--,L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i_lc--) {
666       switch(L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_H2sv[L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i]) {
667       case 2: case 6: {
668         L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_V6result[L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i]=enumeration(0);
669        } break;
670       case 3: case 7: {
671         L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_V6result[L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i]=enumeration(1);
672        } break;
673       default: {
674         L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_V6result[L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_L5181ln_C1i]=L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_C4xmap;
675        } break;
676       }
677     }
678     return array_alias<L3std_Q8standard_T10bit_vector >((&L3std_Q8standard_I10bit_vector_INFO),L4ieee_Q14std_logic_1164_Y12to_bitvector_i105_V6result);
679 }
680 /* Implementation of subprogram :ieee:std_logic_1164:to_bitvector */
L4ieee_Q14std_logic_1164_Y12to_bitvector_i108(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s,const enumeration L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C4xmap)681 L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i108(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s,const enumeration L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C4xmap)
682 {
683     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_H2sv;
684     L3std_Q8standard_T10bit_vector L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_V6result;
685     integer L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i,L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i_lc;
686     L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s.info->length-1),downto,0,0)), L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s.data);
687     L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_V6result.init((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s.info->length-1),downto,0,0)),enumeration(0));
688     for (L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i=(L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s.info->length-1),L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i_lc=down_range_to_length<integer>((L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C1s.info->length-1),0); L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i--,L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i_lc--) {
689       switch(L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_H2sv[L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i]) {
690       case 2: case 6: {
691         L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_V6result[L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i]=enumeration(0);
692        } break;
693       case 3: case 7: {
694         L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_V6result[L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i]=enumeration(1);
695        } break;
696       default: {
697         L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_V6result[L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_L5188ln_C1i]=L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_C4xmap;
698        } break;
699       }
700     }
701     return array_alias<L3std_Q8standard_T10bit_vector >((&L3std_Q8standard_I10bit_vector_INFO),L4ieee_Q14std_logic_1164_Y12to_bitvector_i108_V6result);
702 }
703 /* Implementation of subprogram :ieee:std_logic_1164:to_stdulogic */
L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110(const enumeration L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110_C1b)704 enumeration L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110(const enumeration L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110_C1b)
705 {
706     switch(L4ieee_Q14std_logic_1164_Y12to_stdulogic_i110_C1b) {
707     case 0: {
708       return enumeration(2);
709      } break;
710     case 1: {
711       return enumeration(3);
712      } break;
713     }
714 }
715 /* Implementation of subprogram :ieee:std_logic_1164:to_stdlogicvector */
L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b)716 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b)
717 {
718     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_H2bv;
719     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_V6result;
720     integer L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i,L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i_lc;
721     L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b.info->length-1),downto,0,0)), L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b.data);
722     L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b.info->length-1),downto,0,0)),enumeration(0));
723     for (L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i=(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b.info->length-1),L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i_lc=down_range_to_length<integer>((L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_C1b.info->length-1),0); L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i--,L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i_lc--) {
724       switch(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_H2bv[L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i]) {
725       case 0: {
726         L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_V6result[L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i]=enumeration(2);
727        } break;
728       case 1: {
729         L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_V6result[L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_L5196ln_C1i]=enumeration(3);
730        } break;
731       }
732     }
733     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i112_V6result);
734 }
735 /* Implementation of subprogram :ieee:std_logic_1164:to_stdlogicvector */
L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s)736 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s)
737 {
738     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_H2sv;
739     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_V6result;
740     integer L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i,L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i_lc;
741     L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s.info->length-1),downto,0,0)), L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s.data);
742     L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s.info->length-1),downto,0,0)),enumeration(0));
743     for (L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i=(L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s.info->length-1),L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i_lc=down_range_to_length<integer>((L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_C1s.info->length-1),0); L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i--,L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i_lc--) {
744       L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_V6result[L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i]=L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_H2sv[L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_L5202ln_C1i];
745     }
746     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y17to_stdlogicvector_i114_V6result);
747 }
748 /* Implementation of subprogram :ieee:std_logic_1164:to_stdulogicvector */
L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b)749 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b)
750 {
751     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_H2bv;
752     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_V6result;
753     integer L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i,L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i_lc;
754     L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b.info->length-1),downto,0,0)), L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b.data);
755     L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b.info->length-1),downto,0,0)),enumeration(0));
756     for (L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i=(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b.info->length-1),L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i_lc=down_range_to_length<integer>((L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_C1b.info->length-1),0); L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i--,L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i_lc--) {
757       switch(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_H2bv[L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i]) {
758       case 0: {
759         L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_V6result[L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i]=enumeration(2);
760        } break;
761       case 1: {
762         L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_V6result[L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_L5208ln_C1i]=enumeration(3);
763        } break;
764       }
765     }
766     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i116_V6result);
767 }
768 /* Implementation of subprogram :ieee:std_logic_1164:to_stdulogicvector */
L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s)769 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s)
770 {
771     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_H2sv;
772     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_V6result;
773     integer L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i,L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i_lc;
774     L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s.info->length-1),downto,0,0)), L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s.data);
775     L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s.info->length-1),downto,0,0)),enumeration(0));
776     for (L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i=(L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s.info->length-1),L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i_lc=down_range_to_length<integer>((L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_C1s.info->length-1),0); L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i--,L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i_lc--) {
777       L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_V6result[L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i]=L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_H2sv[L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_L5214ln_C1i];
778     }
779     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y18to_stdulogicvector_i118_V6result);
780 }
781 /* Implementation of subprogram :ieee:std_logic_1164:to_x01 */
L4ieee_Q14std_logic_1164_Y6to_x01_i120(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y6to_x01_i120_C1s)782 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i120(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y6to_x01_i120_C1s)
783 {
784     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y6to_x01_i120_H2sv;
785     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i120_V6result;
786     integer L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i,L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i_lc;
787     L4ieee_Q14std_logic_1164_Y6to_x01_i120_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i120_C1s.info->length,0)), L4ieee_Q14std_logic_1164_Y6to_x01_i120_C1s.data);
788     L4ieee_Q14std_logic_1164_Y6to_x01_i120_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i120_C1s.info->length,0)),enumeration(0));
789     for (L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i=1,L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6to_x01_i120_C1s.info->length); L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i++,L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i_lc--) {
790       L4ieee_Q14std_logic_1164_Y6to_x01_i120_V6result[L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i]=L4ieee_W14std_logic_1164_C10cvt_to_x01[L4ieee_Q14std_logic_1164_Y6to_x01_i120_H2sv[L4ieee_Q14std_logic_1164_Y6to_x01_i120_L5220ln_C1i]];
791     }
792     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y6to_x01_i120_V6result);
793 }
794 /* Implementation of subprogram :ieee:std_logic_1164:to_x01 */
L4ieee_Q14std_logic_1164_Y6to_x01_i122(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y6to_x01_i122_C1s)795 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i122(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y6to_x01_i122_C1s)
796 {
797     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y6to_x01_i122_H2sv;
798     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i122_V6result;
799     integer L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i,L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i_lc;
800     L4ieee_Q14std_logic_1164_Y6to_x01_i122_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i122_C1s.info->length,0)), L4ieee_Q14std_logic_1164_Y6to_x01_i122_C1s.data);
801     L4ieee_Q14std_logic_1164_Y6to_x01_i122_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i122_C1s.info->length,0)),enumeration(0));
802     for (L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i=1,L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6to_x01_i122_C1s.info->length); L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i++,L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i_lc--) {
803       L4ieee_Q14std_logic_1164_Y6to_x01_i122_V6result[L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i]=L4ieee_W14std_logic_1164_C10cvt_to_x01[L4ieee_Q14std_logic_1164_Y6to_x01_i122_H2sv[L4ieee_Q14std_logic_1164_Y6to_x01_i122_L5226ln_C1i]];
804     }
805     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y6to_x01_i122_V6result);
806 }
807 /* Implementation of subprogram :ieee:std_logic_1164:to_x01 */
L4ieee_Q14std_logic_1164_Y6to_x01_i124(const enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i124_C1s)808 enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i124(const enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i124_C1s)
809 {
810     return L4ieee_W14std_logic_1164_C10cvt_to_x01[L4ieee_Q14std_logic_1164_Y6to_x01_i124_C1s];
811 }
812 /* Implementation of subprogram :ieee:std_logic_1164:to_x01 */
L4ieee_Q14std_logic_1164_Y6to_x01_i126(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y6to_x01_i126_C1b)813 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i126(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y6to_x01_i126_C1b)
814 {
815     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y6to_x01_i126_H2bv;
816     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i126_V6result;
817     integer L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i,L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i_lc;
818     L4ieee_Q14std_logic_1164_Y6to_x01_i126_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i126_C1b.info->length,0)), L4ieee_Q14std_logic_1164_Y6to_x01_i126_C1b.data);
819     L4ieee_Q14std_logic_1164_Y6to_x01_i126_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i126_C1b.info->length,0)),enumeration(0));
820     for (L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i=1,L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6to_x01_i126_C1b.info->length); L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i++,L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i_lc--) {
821       switch(L4ieee_Q14std_logic_1164_Y6to_x01_i126_H2bv[L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i]) {
822       case 0: {
823         L4ieee_Q14std_logic_1164_Y6to_x01_i126_V6result[L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i]=enumeration(2);
824        } break;
825       case 1: {
826         L4ieee_Q14std_logic_1164_Y6to_x01_i126_V6result[L4ieee_Q14std_logic_1164_Y6to_x01_i126_L5234ln_C1i]=enumeration(3);
827        } break;
828       }
829     }
830     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y6to_x01_i126_V6result);
831 }
832 /* Implementation of subprogram :ieee:std_logic_1164:to_x01 */
L4ieee_Q14std_logic_1164_Y6to_x01_i128(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y6to_x01_i128_C1b)833 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i128(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y6to_x01_i128_C1b)
834 {
835     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y6to_x01_i128_H2bv;
836     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6to_x01_i128_V6result;
837     integer L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i,L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i_lc;
838     L4ieee_Q14std_logic_1164_Y6to_x01_i128_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i128_C1b.info->length,0)), L4ieee_Q14std_logic_1164_Y6to_x01_i128_C1b.data);
839     L4ieee_Q14std_logic_1164_Y6to_x01_i128_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y6to_x01_i128_C1b.info->length,0)),enumeration(0));
840     for (L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i=1,L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y6to_x01_i128_C1b.info->length); L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i++,L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i_lc--) {
841       switch(L4ieee_Q14std_logic_1164_Y6to_x01_i128_H2bv[L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i]) {
842       case 0: {
843         L4ieee_Q14std_logic_1164_Y6to_x01_i128_V6result[L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i]=enumeration(2);
844        } break;
845       case 1: {
846         L4ieee_Q14std_logic_1164_Y6to_x01_i128_V6result[L4ieee_Q14std_logic_1164_Y6to_x01_i128_L5240ln_C1i]=enumeration(3);
847        } break;
848       }
849     }
850     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y6to_x01_i128_V6result);
851 }
852 /* Implementation of subprogram :ieee:std_logic_1164:to_x01 */
L4ieee_Q14std_logic_1164_Y6to_x01_i130(const enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i130_C1b)853 enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i130(const enumeration L4ieee_Q14std_logic_1164_Y6to_x01_i130_C1b)
854 {
855     switch(L4ieee_Q14std_logic_1164_Y6to_x01_i130_C1b) {
856     case 0: {
857       return enumeration(2);
858      } break;
859     case 1: {
860       return enumeration(3);
861      } break;
862     }
863 }
864 /* Implementation of subprogram :ieee:std_logic_1164:to_x01z */
L4ieee_Q14std_logic_1164_Y7to_x01z_i132(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y7to_x01z_i132_C1s)865 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i132(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y7to_x01z_i132_C1s)
866 {
867     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y7to_x01z_i132_H2sv;
868     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i132_V6result;
869     integer L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i,L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i_lc;
870     L4ieee_Q14std_logic_1164_Y7to_x01z_i132_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i132_C1s.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_x01z_i132_C1s.data);
871     L4ieee_Q14std_logic_1164_Y7to_x01z_i132_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i132_C1s.info->length,0)),enumeration(0));
872     for (L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_x01z_i132_C1s.info->length); L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i_lc--) {
873       L4ieee_Q14std_logic_1164_Y7to_x01z_i132_V6result[L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i]=L4ieee_W14std_logic_1164_C11cvt_to_x01z[L4ieee_Q14std_logic_1164_Y7to_x01z_i132_H2sv[L4ieee_Q14std_logic_1164_Y7to_x01z_i132_L5248ln_C1i]];
874     }
875     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_x01z_i132_V6result);
876 }
877 /* Implementation of subprogram :ieee:std_logic_1164:to_x01z */
L4ieee_Q14std_logic_1164_Y7to_x01z_i134(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y7to_x01z_i134_C1s)878 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i134(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y7to_x01z_i134_C1s)
879 {
880     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y7to_x01z_i134_H2sv;
881     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i134_V6result;
882     integer L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i,L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i_lc;
883     L4ieee_Q14std_logic_1164_Y7to_x01z_i134_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i134_C1s.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_x01z_i134_C1s.data);
884     L4ieee_Q14std_logic_1164_Y7to_x01z_i134_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i134_C1s.info->length,0)),enumeration(0));
885     for (L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_x01z_i134_C1s.info->length); L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i_lc--) {
886       L4ieee_Q14std_logic_1164_Y7to_x01z_i134_V6result[L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i]=L4ieee_W14std_logic_1164_C11cvt_to_x01z[L4ieee_Q14std_logic_1164_Y7to_x01z_i134_H2sv[L4ieee_Q14std_logic_1164_Y7to_x01z_i134_L5254ln_C1i]];
887     }
888     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_x01z_i134_V6result);
889 }
890 /* Implementation of subprogram :ieee:std_logic_1164:to_x01z */
L4ieee_Q14std_logic_1164_Y7to_x01z_i136(const enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i136_C1s)891 enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i136(const enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i136_C1s)
892 {
893     return L4ieee_W14std_logic_1164_C11cvt_to_x01z[L4ieee_Q14std_logic_1164_Y7to_x01z_i136_C1s];
894 }
895 /* Implementation of subprogram :ieee:std_logic_1164:to_x01z */
L4ieee_Q14std_logic_1164_Y7to_x01z_i138(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y7to_x01z_i138_C1b)896 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i138(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y7to_x01z_i138_C1b)
897 {
898     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y7to_x01z_i138_H2bv;
899     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i138_V6result;
900     integer L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i,L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i_lc;
901     L4ieee_Q14std_logic_1164_Y7to_x01z_i138_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i138_C1b.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_x01z_i138_C1b.data);
902     L4ieee_Q14std_logic_1164_Y7to_x01z_i138_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i138_C1b.info->length,0)),enumeration(0));
903     for (L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_x01z_i138_C1b.info->length); L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i_lc--) {
904       switch(L4ieee_Q14std_logic_1164_Y7to_x01z_i138_H2bv[L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i]) {
905       case 0: {
906         L4ieee_Q14std_logic_1164_Y7to_x01z_i138_V6result[L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i]=enumeration(2);
907        } break;
908       case 1: {
909         L4ieee_Q14std_logic_1164_Y7to_x01z_i138_V6result[L4ieee_Q14std_logic_1164_Y7to_x01z_i138_L5262ln_C1i]=enumeration(3);
910        } break;
911       }
912     }
913     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_x01z_i138_V6result);
914 }
915 /* Implementation of subprogram :ieee:std_logic_1164:to_x01z */
L4ieee_Q14std_logic_1164_Y7to_x01z_i140(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y7to_x01z_i140_C1b)916 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i140(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y7to_x01z_i140_C1b)
917 {
918     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y7to_x01z_i140_H2bv;
919     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_x01z_i140_V6result;
920     integer L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i,L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i_lc;
921     L4ieee_Q14std_logic_1164_Y7to_x01z_i140_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i140_C1b.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_x01z_i140_C1b.data);
922     L4ieee_Q14std_logic_1164_Y7to_x01z_i140_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_x01z_i140_C1b.info->length,0)),enumeration(0));
923     for (L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_x01z_i140_C1b.info->length); L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i_lc--) {
924       switch(L4ieee_Q14std_logic_1164_Y7to_x01z_i140_H2bv[L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i]) {
925       case 0: {
926         L4ieee_Q14std_logic_1164_Y7to_x01z_i140_V6result[L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i]=enumeration(2);
927        } break;
928       case 1: {
929         L4ieee_Q14std_logic_1164_Y7to_x01z_i140_V6result[L4ieee_Q14std_logic_1164_Y7to_x01z_i140_L5268ln_C1i]=enumeration(3);
930        } break;
931       }
932     }
933     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_x01z_i140_V6result);
934 }
935 /* Implementation of subprogram :ieee:std_logic_1164:to_x01z */
L4ieee_Q14std_logic_1164_Y7to_x01z_i142(const enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i142_C1b)936 enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i142(const enumeration L4ieee_Q14std_logic_1164_Y7to_x01z_i142_C1b)
937 {
938     switch(L4ieee_Q14std_logic_1164_Y7to_x01z_i142_C1b) {
939     case 0: {
940       return enumeration(2);
941      } break;
942     case 1: {
943       return enumeration(3);
944      } break;
945     }
946 }
947 /* Implementation of subprogram :ieee:std_logic_1164:to_ux01 */
L4ieee_Q14std_logic_1164_Y7to_ux01_i144(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y7to_ux01_i144_C1s)948 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i144(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y7to_ux01_i144_C1s)
949 {
950     array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector > L4ieee_Q14std_logic_1164_Y7to_ux01_i144_H2sv;
951     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i144_V6result;
952     integer L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i,L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i_lc;
953     L4ieee_Q14std_logic_1164_Y7to_ux01_i144_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i144_C1s.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_ux01_i144_C1s.data);
954     L4ieee_Q14std_logic_1164_Y7to_ux01_i144_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i144_C1s.info->length,0)),enumeration(0));
955     for (L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_ux01_i144_C1s.info->length); L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i_lc--) {
956       L4ieee_Q14std_logic_1164_Y7to_ux01_i144_V6result[L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i]=L4ieee_W14std_logic_1164_C11cvt_to_ux01[L4ieee_Q14std_logic_1164_Y7to_ux01_i144_H2sv[L4ieee_Q14std_logic_1164_Y7to_ux01_i144_L5276ln_C1i]];
957     }
958     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_ux01_i144_V6result);
959 }
960 /* Implementation of subprogram :ieee:std_logic_1164:to_ux01 */
L4ieee_Q14std_logic_1164_Y7to_ux01_i146(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y7to_ux01_i146_C1s)961 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i146(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y7to_ux01_i146_C1s)
962 {
963     array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector > L4ieee_Q14std_logic_1164_Y7to_ux01_i146_H2sv;
964     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i146_V6result;
965     integer L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i,L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i_lc;
966     L4ieee_Q14std_logic_1164_Y7to_ux01_i146_H2sv.set((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i146_C1s.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_ux01_i146_C1s.data);
967     L4ieee_Q14std_logic_1164_Y7to_ux01_i146_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i146_C1s.info->length,0)),enumeration(0));
968     for (L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_ux01_i146_C1s.info->length); L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i_lc--) {
969       L4ieee_Q14std_logic_1164_Y7to_ux01_i146_V6result[L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i]=L4ieee_W14std_logic_1164_C11cvt_to_ux01[L4ieee_Q14std_logic_1164_Y7to_ux01_i146_H2sv[L4ieee_Q14std_logic_1164_Y7to_ux01_i146_L5282ln_C1i]];
970     }
971     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_ux01_i146_V6result);
972 }
973 /* Implementation of subprogram :ieee:std_logic_1164:to_ux01 */
L4ieee_Q14std_logic_1164_Y7to_ux01_i148(const enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i148_C1s)974 enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i148(const enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i148_C1s)
975 {
976     return L4ieee_W14std_logic_1164_C11cvt_to_ux01[L4ieee_Q14std_logic_1164_Y7to_ux01_i148_C1s];
977 }
978 /* Implementation of subprogram :ieee:std_logic_1164:to_ux01 */
L4ieee_Q14std_logic_1164_Y7to_ux01_i150(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y7to_ux01_i150_C1b)979 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i150(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y7to_ux01_i150_C1b)
980 {
981     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y7to_ux01_i150_H2bv;
982     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i150_V6result;
983     integer L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i,L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i_lc;
984     L4ieee_Q14std_logic_1164_Y7to_ux01_i150_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i150_C1b.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_ux01_i150_C1b.data);
985     L4ieee_Q14std_logic_1164_Y7to_ux01_i150_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i150_C1b.info->length,0)),enumeration(0));
986     for (L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_ux01_i150_C1b.info->length); L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i_lc--) {
987       switch(L4ieee_Q14std_logic_1164_Y7to_ux01_i150_H2bv[L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i]) {
988       case 0: {
989         L4ieee_Q14std_logic_1164_Y7to_ux01_i150_V6result[L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i]=enumeration(2);
990        } break;
991       case 1: {
992         L4ieee_Q14std_logic_1164_Y7to_ux01_i150_V6result[L4ieee_Q14std_logic_1164_Y7to_ux01_i150_L5290ln_C1i]=enumeration(3);
993        } break;
994       }
995     }
996     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_ux01_i150_V6result);
997 }
998 /* Implementation of subprogram :ieee:std_logic_1164:to_ux01 */
L4ieee_Q14std_logic_1164_Y7to_ux01_i152(const L3std_Q8standard_T10bit_vector & L4ieee_Q14std_logic_1164_Y7to_ux01_i152_C1b)999 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i152(const L3std_Q8standard_T10bit_vector &L4ieee_Q14std_logic_1164_Y7to_ux01_i152_C1b)
1000 {
1001     array_alias<L3std_Q8standard_T10bit_vector > L4ieee_Q14std_logic_1164_Y7to_ux01_i152_H2bv;
1002     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y7to_ux01_i152_V6result;
1003     integer L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i,L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i_lc;
1004     L4ieee_Q14std_logic_1164_Y7to_ux01_i152_H2bv.set((new array_info((&L3std_Q8standard_I10bit_vector_INFO)->element_type,(&L3std_Q8standard_I10bit_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i152_C1b.info->length,0)), L4ieee_Q14std_logic_1164_Y7to_ux01_i152_C1b.data);
1005     L4ieee_Q14std_logic_1164_Y7to_ux01_i152_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,1,to,L4ieee_Q14std_logic_1164_Y7to_ux01_i152_C1b.info->length,0)),enumeration(0));
1006     for (L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i=1,L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i_lc=up_range_to_length<integer>(1,L4ieee_Q14std_logic_1164_Y7to_ux01_i152_C1b.info->length); L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i++,L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i_lc--) {
1007       switch(L4ieee_Q14std_logic_1164_Y7to_ux01_i152_H2bv[L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i]) {
1008       case 0: {
1009         L4ieee_Q14std_logic_1164_Y7to_ux01_i152_V6result[L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i]=enumeration(2);
1010        } break;
1011       case 1: {
1012         L4ieee_Q14std_logic_1164_Y7to_ux01_i152_V6result[L4ieee_Q14std_logic_1164_Y7to_ux01_i152_L5296ln_C1i]=enumeration(3);
1013        } break;
1014       }
1015     }
1016     return array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q14std_logic_1164_Y7to_ux01_i152_V6result);
1017 }
1018 /* Implementation of subprogram :ieee:std_logic_1164:to_ux01 */
L4ieee_Q14std_logic_1164_Y7to_ux01_i154(const enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i154_C1b)1019 enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i154(const enumeration L4ieee_Q14std_logic_1164_Y7to_ux01_i154_C1b)
1020 {
1021     switch(L4ieee_Q14std_logic_1164_Y7to_ux01_i154_C1b) {
1022     case 0: {
1023       return enumeration(2);
1024      } break;
1025     case 1: {
1026       return enumeration(3);
1027      } break;
1028     }
1029 }
1030 /* Implementation of subprogram :ieee:std_logic_1164:rising_edge */
L4ieee_Q14std_logic_1164_Y11rising_edge_i156(sig_info<enumeration> * L4ieee_Q14std_logic_1164_Y11rising_edge_i156_S1s,enumeration * L4ieee_Q14std_logic_1164_Y11rising_edge_i156_R1s)1031 enumeration L4ieee_Q14std_logic_1164_Y11rising_edge_i156(sig_info<enumeration> *L4ieee_Q14std_logic_1164_Y11rising_edge_i156_S1s,enumeration *L4ieee_Q14std_logic_1164_Y11rising_edge_i156_R1s)
1032 {
1033     return ((attr_scalar_EVENT(L4ieee_Q14std_logic_1164_Y11rising_edge_i156_S1s)&&(L4ieee_Q14std_logic_1164_Y6to_x01_i124 ((*L4ieee_Q14std_logic_1164_Y11rising_edge_i156_R1s))==enumeration(3)))&&(L4ieee_Q14std_logic_1164_Y6to_x01_i124 (attr_scalar_LAST_VALUE<enumeration>(L4ieee_Q14std_logic_1164_Y11rising_edge_i156_S1s))==enumeration(2)));
1034 }
1035 /* Implementation of subprogram :ieee:std_logic_1164:falling_edge */
L4ieee_Q14std_logic_1164_Y12falling_edge_i158(sig_info<enumeration> * L4ieee_Q14std_logic_1164_Y12falling_edge_i158_S1s,enumeration * L4ieee_Q14std_logic_1164_Y12falling_edge_i158_R1s)1036 enumeration L4ieee_Q14std_logic_1164_Y12falling_edge_i158(sig_info<enumeration> *L4ieee_Q14std_logic_1164_Y12falling_edge_i158_S1s,enumeration *L4ieee_Q14std_logic_1164_Y12falling_edge_i158_R1s)
1037 {
1038     return ((attr_scalar_EVENT(L4ieee_Q14std_logic_1164_Y12falling_edge_i158_S1s)&&(L4ieee_Q14std_logic_1164_Y6to_x01_i124 ((*L4ieee_Q14std_logic_1164_Y12falling_edge_i158_R1s))==enumeration(2)))&&(L4ieee_Q14std_logic_1164_Y6to_x01_i124 (attr_scalar_LAST_VALUE<enumeration>(L4ieee_Q14std_logic_1164_Y12falling_edge_i158_S1s))==enumeration(3)));
1039 }
1040 /* Implementation of subprogram :ieee:std_logic_1164:is_x */
L4ieee_Q14std_logic_1164_Y4is_x_i160(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s)1041 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i160(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s)
1042 {
1043     integer L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i,L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_lc,L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_ls;
1044     for (L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i=L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_ls=L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s.info->index_direction==to?+1:-1,L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_lc=range_to_length<integer>(L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s.info->index_direction,L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s.info->right_bound);L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i+=L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_ls,L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i_lc--) {
1045       switch(L4ieee_Q14std_logic_1164_Y4is_x_i160_C1s[L4ieee_Q14std_logic_1164_Y4is_x_i160_L5306ln_C1i]) {
1046       case 0: case 1: case 4: case 5: case 8: {
1047         return enumeration(1);
1048        } break;
1049       default: {
1050 /* NullStat impl */;
1051        } break;
1052       }
1053     }
1054     return enumeration(0);
1055 }
1056 /* Implementation of subprogram :ieee:std_logic_1164:is_x */
L4ieee_Q14std_logic_1164_Y4is_x_i162(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s)1057 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i162(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s)
1058 {
1059     integer L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i,L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_lc,L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_ls;
1060     for (L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i=L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_ls=L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s.info->index_direction==to?+1:-1,L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_lc=range_to_length<integer>(L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s.info->left_bound,L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s.info->index_direction,L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s.info->right_bound);L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_lc!=0; L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i+=L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_ls,L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i_lc--) {
1061       switch(L4ieee_Q14std_logic_1164_Y4is_x_i162_C1s[L4ieee_Q14std_logic_1164_Y4is_x_i162_L5310ln_C1i]) {
1062       case 0: case 1: case 4: case 5: case 8: {
1063         return enumeration(1);
1064        } break;
1065       default: {
1066 /* NullStat impl */;
1067        } break;
1068       }
1069     }
1070     return enumeration(0);
1071 }
1072 /* Implementation of subprogram :ieee:std_logic_1164:is_x */
L4ieee_Q14std_logic_1164_Y4is_x_i164(const enumeration L4ieee_Q14std_logic_1164_Y4is_x_i164_C1s)1073 enumeration L4ieee_Q14std_logic_1164_Y4is_x_i164(const enumeration L4ieee_Q14std_logic_1164_Y4is_x_i164_C1s)
1074 {
1075     switch(L4ieee_Q14std_logic_1164_Y4is_x_i164_C1s) {
1076     case 0: case 1: case 4: case 5: case 8: {
1077       return enumeration(1);
1078      } break;
1079     default: {
1080 /* NullStat impl */;
1081      } break;
1082     }
1083     return enumeration(0);
1084 }
1085 /* Initialization function for package body :ieee:std_logic_1164 */
1086 int L4ieee_Q14std_logic_1164_init ();
1087 int L3std_Q8standard_init ();
1088 bool L4ieee_W14std_logic_1164_init_done = false;
L4ieee_W14std_logic_1164_init()1089 int L4ieee_W14std_logic_1164_init(){
1090 if (L4ieee_W14std_logic_1164_init_done) return 1;
1091 L4ieee_W14std_logic_1164_init_done=true;
1092 L4ieee_Q14std_logic_1164_init ();
1093 L3std_Q8standard_init ();
1094 register_source_file("/home/stefan/cvs-local/freehdl-teaser/ieee/std_logic_1164.vhdl","std_logic_1164.vhdl");
1095 name_stack iname;
1096 iname.push("");
1097 void *sref=register_package_body(":ieee",":std_logic_1164");
1098 L4ieee_W14std_logic_1164_I11stdlogic_1d_INFO.set((&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:stdlogic_1d",":stdlogic_1d",NULL);
1099 L4ieee_W14std_logic_1164_I14stdlogic_table_INFO.set((new array_info((&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1)),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:stdlogic_table",":stdlogic_table",NULL);
1100 L4ieee_W14std_logic_1164_I15logic_x01_table_INFO.set((&L4ieee_Q14std_logic_1164_I3x01_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:logic_x01_table",":logic_x01_table",NULL);
1101 L4ieee_W14std_logic_1164_I16logic_x01z_table_INFO.set((&L4ieee_Q14std_logic_1164_I4x01z_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:logic_x01z_table",":logic_x01z_table",NULL);
1102 L4ieee_W14std_logic_1164_I16logic_ux01_table_INFO.set((&L4ieee_Q14std_logic_1164_I4ux01_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_1164",":ieee:std_logic_1164:logic_ux01_table",":logic_ux01_table",NULL);
1103 L4ieee_W14std_logic_1164_C16resolution_table.init((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO));
1104 L4ieee_W14std_logic_1164_C16resolution_table=L4ieee_W14std_logic_1164_T14stdlogic_table(new array_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(0)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(0)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(0)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(0)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(0)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(0)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(0)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(0))).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(2)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(2)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(2)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(3)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(3)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(3)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(4)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(5)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(6)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(7)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(5)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(5)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(5)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(5)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(6)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(5)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(6)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(5)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(7)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(5)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(5)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(7)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1)));
1105 L4ieee_W14std_logic_1164_C9and_table.init((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO));
1106 L4ieee_W14std_logic_1164_C9and_table=L4ieee_W14std_logic_1164_T14stdlogic_table(new array_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(0)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(0)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(0)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(0)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(0)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(0))).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(2)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(2)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(2)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(2)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(2)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(2)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(2))).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(2)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(2)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(2)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(2)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(2)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(2)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(2))).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1)));
1107 L4ieee_W14std_logic_1164_C8or_table.init((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO));
1108 L4ieee_W14std_logic_1164_C8or_table=L4ieee_W14std_logic_1164_T14stdlogic_table(new array_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(0)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(0)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(0)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(0)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(0)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(0))).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(3)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(3)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(3)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(3)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(3)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(3)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(3))).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(3)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(3)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(3)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(3)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(3)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(3)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(3))).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1)));
1109 L4ieee_W14std_logic_1164_C9xor_table.init((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO));
1110 L4ieee_W14std_logic_1164_C9xor_table=L4ieee_W14std_logic_1164_T14stdlogic_table(new array_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(0)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(0)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(0)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(0)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(0)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(0)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(0)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(0))).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(3)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(2)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(3)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(2)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(3)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(2)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(3)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(2)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1))).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,L4ieee_W14std_logic_1164_T14stdlogic_table::E_type(new array_info(parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->element_type,parray_info((&L4ieee_W14std_logic_1164_I14stdlogic_table_INFO)->element_type)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(1)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(1)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(1)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(1)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1)));
1111 L4ieee_W14std_logic_1164_C9not_table.init((&L4ieee_W14std_logic_1164_I11stdlogic_1d_INFO),enumeration(0));
1112 L4ieee_W14std_logic_1164_C9not_table=L4ieee_W14std_logic_1164_T11stdlogic_1d(new array_info((&L4ieee_W14std_logic_1164_I11stdlogic_1d_INFO)->element_type,(&L4ieee_W14std_logic_1164_I11stdlogic_1d_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(3)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(2)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(3)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(2)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1));
1113 L4ieee_W14std_logic_1164_C10cvt_to_x01.init((&L4ieee_W14std_logic_1164_I15logic_x01_table_INFO),enumeration(1));
1114 L4ieee_W14std_logic_1164_C10cvt_to_x01=L4ieee_W14std_logic_1164_T15logic_x01_table(new array_info((&L4ieee_W14std_logic_1164_I15logic_x01_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I15logic_x01_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(1)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1));
1115 L4ieee_W14std_logic_1164_C11cvt_to_x01z.init((&L4ieee_W14std_logic_1164_I16logic_x01z_table_INFO),enumeration(1));
1116 L4ieee_W14std_logic_1164_C11cvt_to_x01z=L4ieee_W14std_logic_1164_T16logic_x01z_table(new array_info((&L4ieee_W14std_logic_1164_I16logic_x01z_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I16logic_x01z_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(1)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(4)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1));
1117 L4ieee_W14std_logic_1164_C11cvt_to_ux01.init((&L4ieee_W14std_logic_1164_I16logic_ux01_table_INFO),enumeration(0));
1118 L4ieee_W14std_logic_1164_C11cvt_to_ux01=L4ieee_W14std_logic_1164_T16logic_ux01_table(new array_info((&L4ieee_W14std_logic_1164_I16logic_ux01_table_INFO)->element_type,(&L4ieee_W14std_logic_1164_I16logic_ux01_table_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(0)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1));
1119 iname.pop();
1120 return 1;
1121 }
1122 /* handle for simulator to find package body initialization function */
1123 handle_info *L4ieee_W14std_logic_1164_hinfo =
1124   add_handle("ieee","std_logic_1164",NULL,NULL,&L4ieee_W14std_logic_1164_init);
1125 
1126 /* end of package body :ieee:std_logic_1164 */
1127