1# Auto generated Python source file from Ada sources
2# Call 'make' in 'src/vhdl' to regenerate:
3#
4from pydecor import export
5
6
7@export
8class Name:
9    First_Character = 1
10    Last_Character = 256
11    First_Keyword = 257
12    Mod = 257
13    Rem = 258
14    Abs = 259
15    Not = 260
16    Access = 261
17    After = 262
18    Alias = 263
19    All = 264
20    Architecture = 265
21    Array = 266
22    Assert = 267
23    Attribute = 268
24    Begin = 269
25    Block = 270
26    Body = 271
27    Buffer = 272
28    Bus = 273
29    Case = 274
30    Component = 275
31    Configuration = 276
32    Constant = 277
33    Disconnect = 278
34    Downto = 279
35    Else = 280
36    Elsif = 281
37    End = 282
38    Entity = 283
39    Exit = 284
40    File = 285
41    For = 286
42    Function = 287
43    Generate = 288
44    Generic = 289
45    Guarded = 290
46    If = 291
47    In = 292
48    Inout = 293
49    Is = 294
50    Label = 295
51    Library = 296
52    Linkage = 297
53    Loop = 298
54    Map = 299
55    New = 300
56    Next = 301
57    Null = 302
58    Of = 303
59    On = 304
60    Open = 305
61    Others = 306
62    Out = 307
63    Package = 308
64    Port = 309
65    Procedure = 310
66    Process = 311
67    Range = 312
68    Record = 313
69    Register = 314
70    Report = 315
71    Return = 316
72    Select = 317
73    Severity = 318
74    Signal = 319
75    Subtype = 320
76    Then = 321
77    To = 322
78    Transport = 323
79    Type = 324
80    Units = 325
81    Until = 326
82    Use = 327
83    Variable = 328
84    Wait = 329
85    When = 330
86    While = 331
87    With = 332
88    And = 333
89    Or = 334
90    Xor = 335
91    Nand = 336
92    Nor = 337
93    Last_Vhdl87 = 337
94    Xnor = 338
95    Group = 339
96    Impure = 340
97    Inertial = 341
98    Literal = 342
99    Postponed = 343
100    Pure = 344
101    Reject = 345
102    Shared = 346
103    Unaffected = 347
104    Sll = 348
105    Sla = 349
106    Sra = 350
107    Srl = 351
108    Rol = 352
109    Ror = 353
110    Last_Vhdl93 = 353
111    Protected = 354
112    Last_Vhdl00 = 354
113    Assume = 355
114    Context = 356
115    Cover = 357
116    Default = 358
117    Force = 359
118    Parameter = 360
119    Property = 361
120    Release = 362
121    Restrict = 363
122    Restrict_Guarantee = 364
123    Sequence = 365
124    Vmode = 366
125    Vprop = 367
126    Vunit = 368
127    Last_Vhdl08 = 368
128    First_Ams_Keyword = 369
129    Across = 369
130    Break = 370
131    Limit = 371
132    Nature = 372
133    Noise = 373
134    Procedural = 374
135    Quantity = 375
136    Reference = 376
137    Spectrum = 377
138    Subnature = 378
139    Terminal = 379
140    Through = 380
141    Tolerance = 381
142    Last_AMS_Vhdl = 381
143    Last_Keyword = 381
144    First_Verilog = 382
145    Always = 382
146    Assign = 383
147    Buf = 384
148    Bufif0 = 385
149    Bufif1 = 386
150    Casex = 387
151    Casez = 388
152    Cmos = 389
153    Deassign = 390
154    Defparam = 391
155    Disable = 392
156    Edge = 393
157    Endcase = 394
158    Endfunction = 395
159    Endmodule = 396
160    Endprimitive = 397
161    Endspecify = 398
162    Endtable = 399
163    Endtask = 400
164    Forever = 401
165    Fork = 402
166    Highz0 = 403
167    Highz1 = 404
168    Ifnone = 405
169    Initial = 406
170    Input = 407
171    Join = 408
172    Large = 409
173    Macromodule = 410
174    Medium = 411
175    Module = 412
176    Negedge = 413
177    Nmos = 414
178    Notif0 = 415
179    Notif1 = 416
180    Output = 417
181    Pmos = 418
182    Posedge = 419
183    Primitive = 420
184    Pull0 = 421
185    Pull1 = 422
186    Pulldown = 423
187    Pullup = 424
188    Realtime = 425
189    Reg = 426
190    Repeat = 427
191    Rcmos = 428
192    Rnmos = 429
193    Rpmos = 430
194    Rtran = 431
195    Rtranif0 = 432
196    Rtranif1 = 433
197    Scalared = 434
198    Small = 435
199    Specify = 436
200    Specparam = 437
201    Strong0 = 438
202    Strong1 = 439
203    Supply0 = 440
204    Supply1 = 441
205    Tablex = 442
206    Task = 443
207    Tran = 444
208    Tranif0 = 445
209    Tranif1 = 446
210    Tri = 447
211    Tri0 = 448
212    Tri1 = 449
213    Triand = 450
214    Trior = 451
215    Trireg = 452
216    Vectored = 453
217    Wand = 454
218    Weak0 = 455
219    Weak1 = 456
220    Wire = 457
221    Wor = 458
222    Last_Verilog = 458
223    First_V2001 = 459
224    Automatic = 459
225    Endgenerate = 460
226    Genvar = 461
227    Localparam = 462
228    Unsigned = 463
229    Signed = 464
230    Last_V2001 = 464
231    Uwire = 465
232    First_SV3_0 = 466
233    Always_Comb = 466
234    Always_Ff = 467
235    Always_Latch = 468
236    Bit = 469
237    Byte = 470
238    Changed = 471
239    Char = 472
240    Const = 473
241    Continue = 474
242    Do = 475
243    Endinterface = 476
244    Endtransition = 477
245    Enum = 478
246    Export = 479
247    Extern = 480
248    Forkjoin = 481
249    Iff = 482
250    Import = 483
251    Int = 484
252    Interface = 485
253    Logic = 486
254    Longint = 487
255    Longreal = 488
256    Modport = 489
257    Packed = 490
258    Priority = 491
259    Shortint = 492
260    Shortreal = 493
261    Static = 494
262    Struct = 495
263    Timeprecision = 496
264    Timeunit = 497
265    Transition = 498
266    Typedef = 499
267    Union = 500
268    Unique = 501
269    Unique0 = 502
270    Void = 503
271    Last_SV3_0 = 503
272    First_SV3_1 = 504
273    Chandle = 504
274    Class = 505
275    Clocking = 506
276    Constraint = 507
277    Dist = 508
278    Endclass = 509
279    Endclocking = 510
280    Endprogram = 511
281    Endproperty = 512
282    Endsequence = 513
283    Extends = 514
284    Final = 515
285    First_Match = 516
286    Inside = 517
287    Intersect = 518
288    Join_Any = 519
289    Join_None = 520
290    Local = 521
291    Program = 522
292    Rand = 523
293    Randc = 524
294    Ref = 525
295    Solve = 526
296    String = 527
297    Super = 528
298    This = 529
299    Throughout = 530
300    Var = 531
301    Virtual = 532
302    Wait_Order = 533
303    Last_SV3_1 = 533
304    First_SV3_1a = 534
305    Covergroup = 534
306    Coverpoint = 535
307    Endgroup = 536
308    Endpackage = 537
309    Expect = 538
310    Foreach = 539
311    Ignore_Bins = 540
312    Illegal_Bins = 541
313    Matches = 542
314    Randcase = 543
315    Randsequence = 544
316    Tagged = 545
317    Wildcard = 546
318    Last_SV3_1a = 546
319    First_SV2009 = 547
320    Implies = 547
321    S_Until = 548
322    S_Until_With = 549
323    Until_With = 550
324    Last_SV2009 = 550
325    First_Operator = 551
326    Op_Equality = 551
327    Op_Inequality = 552
328    Op_Less = 553
329    Op_Less_Equal = 554
330    Op_Greater = 555
331    Op_Greater_Equal = 556
332    Op_Plus = 557
333    Op_Minus = 558
334    Op_Mul = 559
335    Op_Div = 560
336    Op_Exp = 561
337    Op_Concatenation = 562
338    Op_Condition = 563
339    Op_Match_Equality = 564
340    Op_Match_Inequality = 565
341    Op_Match_Less = 566
342    Op_Match_Less_Equal = 567
343    Op_Match_Greater = 568
344    Op_Match_Greater_Equal = 569
345    Last_Operator = 569
346    First_Attribute = 570
347    Base = 570
348    Left = 571
349    Right = 572
350    High = 573
351    Low = 574
352    Pos = 575
353    Val = 576
354    Succ = 577
355    Pred = 578
356    Leftof = 579
357    Rightof = 580
358    Reverse_Range = 581
359    Length = 582
360    Delayed = 583
361    Stable = 584
362    Quiet = 585
363    Transaction = 586
364    Event = 587
365    Active = 588
366    Last_Event = 589
367    Last_Active = 590
368    Last_Value = 591
369    Last_Attribute = 591
370    First_Vhdl87_Attribute = 592
371    Behavior = 592
372    Structure = 593
373    Last_Vhdl87_Attribute = 593
374    First_Vhdl93_Attribute = 594
375    Ascending = 594
376    Image = 595
377    Value = 596
378    Driving = 597
379    Driving_Value = 598
380    Simple_Name = 599
381    Instance_Name = 600
382    Path_Name = 601
383    Last_Vhdl93_Attribute = 601
384    First_Vhdl08_Attribute = 602
385    Element = 602
386    Last_Vhdl08_Attribute = 602
387    First_AMS_Attribute = 603
388    Contribution = 603
389    Dot = 604
390    Integ = 605
391    Above = 606
392    Zoh = 607
393    Ltf = 608
394    Ztf = 609
395    Ramp = 610
396    Slew = 611
397    Last_AMS_Attribute = 611
398    First_Standard = 612
399    Std = 612
400    Standard = 613
401    Boolean = 614
402    NFalse = 615
403    NTrue = 616
404    Character = 617
405    Severity_Level = 618
406    Note = 619
407    Warning = 620
408    Error = 621
409    Failure = 622
410    Universal_Integer = 623
411    Universal_Real = 624
412    Convertible_Integer = 625
413    Convertible_Real = 626
414    Integer = 627
415    Real = 628
416    Time = 629
417    Fs = 630
418    Ps = 631
419    Ns = 632
420    Us = 633
421    Ms = 634
422    Sec = 635
423    Min = 636
424    Hr = 637
425    Max = 638
426    Delay_Length = 639
427    Now = 640
428    Natural = 641
429    Positive = 642
430    Bit_Vector = 643
431    File_Open_Kind = 644
432    Read_Mode = 645
433    Write_Mode = 646
434    Append_Mode = 647
435    File_Open_Status = 648
436    Open_Ok = 649
437    Status_Error = 650
438    Name_Error = 651
439    Mode_Error = 652
440    Foreign = 653
441    Boolean_Vector = 654
442    To_Bstring = 655
443    To_Binary_String = 656
444    To_Ostring = 657
445    To_Octal_String = 658
446    To_Hstring = 659
447    To_Hex_String = 660
448    Integer_Vector = 661
449    Real_Vector = 662
450    Time_Vector = 663
451    Digits = 664
452    Format = 665
453    Unit = 666
454    Domain_Type = 667
455    Quiescent_Domain = 668
456    Time_Domain = 669
457    Frequency_Domain = 670
458    Domain = 671
459    Frequency = 672
460    Last_Standard = 672
461    First_Charname = 673
462    Nul = 673
463    Soh = 674
464    Stx = 675
465    Etx = 676
466    Eot = 677
467    Enq = 678
468    Ack = 679
469    Bel = 680
470    Bs = 681
471    Ht = 682
472    Lf = 683
473    Vt = 684
474    Ff = 685
475    Cr = 686
476    So = 687
477    Si = 688
478    Dle = 689
479    Dc1 = 690
480    Dc2 = 691
481    Dc3 = 692
482    Dc4 = 693
483    Nak = 694
484    Syn = 695
485    Etb = 696
486    Can = 697
487    Em = 698
488    Sub = 699
489    Esc = 700
490    Fsp = 701
491    Gsp = 702
492    Rsp = 703
493    Usp = 704
494    Del = 705
495    C128 = 706
496    C129 = 707
497    C130 = 708
498    C131 = 709
499    C132 = 710
500    C133 = 711
501    C134 = 712
502    C135 = 713
503    C136 = 714
504    C137 = 715
505    C138 = 716
506    C139 = 717
507    C140 = 718
508    C141 = 719
509    C142 = 720
510    C143 = 721
511    C144 = 722
512    C145 = 723
513    C146 = 724
514    C147 = 725
515    C148 = 726
516    C149 = 727
517    C150 = 728
518    C151 = 729
519    C152 = 730
520    C153 = 731
521    C154 = 732
522    C155 = 733
523    C156 = 734
524    C157 = 735
525    C158 = 736
526    C159 = 737
527    Last_Charname = 737
528    First_Misc = 738
529    Guard = 738
530    Deallocate = 739
531    File_Open = 740
532    File_Close = 741
533    Read = 742
534    Write = 743
535    Flush = 744
536    Endfile = 745
537    I = 746
538    J = 747
539    F = 748
540    L = 749
541    P = 750
542    R = 751
543    S = 752
544    V = 753
545    External_Name = 754
546    Open_Kind = 755
547    First = 756
548    Last = 757
549    Textio = 758
550    Work = 759
551    Text = 760
552    To_String = 761
553    Minimum = 762
554    Maximum = 763
555    Untruncated_Text_Read = 764
556    Textio_Read_Real = 765
557    Textio_Write_Real = 766
558    Get_Resolution_Limit = 767
559    Control_Simulation = 768
560    Step = 769
561    Index = 770
562    Item = 771
563    Uu_File_Uu = 772
564    Uu_Line_Uu = 773
565    Label_Applies_To = 774
566    Return_Port_Name = 775
567    Map_To_Operator = 776
568    Type_Function = 777
569    Built_In = 778
570    NNone = 779
571    Last_Misc = 779
572    First_Ieee_Pkg = 780
573    Ieee = 780
574    Std_Logic_1164 = 781
575    VITAL_Timing = 782
576    Numeric_Std = 783
577    Numeric_Bit = 784
578    Numeric_Std_Unsigned = 785
579    Std_Logic_Arith = 786
580    Std_Logic_Signed = 787
581    Std_Logic_Unsigned = 788
582    Std_Logic_Textio = 789
583    Std_Logic_Misc = 790
584    Math_Real = 791
585    Last_Ieee_Pkg = 791
586    First_Ieee_Name = 792
587    Std_Ulogic = 792
588    Std_Ulogic_Vector = 793
589    Std_Logic = 794
590    Std_Logic_Vector = 795
591    Rising_Edge = 796
592    Falling_Edge = 797
593    VITAL_Level0 = 798
594    VITAL_Level1 = 799
595    Unresolved_Unsigned = 800
596    Unresolved_Signed = 801
597    To_Integer = 802
598    To_Unsigned = 803
599    To_Signed = 804
600    Resize = 805
601    Std_Match = 806
602    Shift_Left = 807
603    Shift_Right = 808
604    Rotate_Left = 809
605    Rotate_Right = 810
606    To_Bit = 811
607    To_Bitvector = 812
608    To_Stdulogic = 813
609    To_Stdlogicvector = 814
610    To_Stdulogicvector = 815
611    Is_X = 816
612    To_01 = 817
613    To_X01 = 818
614    To_X01Z = 819
615    To_UX01 = 820
616    Conv_Signed = 821
617    Conv_Unsigned = 822
618    Conv_Integer = 823
619    Conv_Std_Logic_Vector = 824
620    And_Reduce = 825
621    Nand_Reduce = 826
622    Or_Reduce = 827
623    Nor_Reduce = 828
624    Xor_Reduce = 829
625    Xnor_Reduce = 830
626    Ceil = 831
627    Floor = 832
628    Round = 833
629    Log2 = 834
630    Sin = 835
631    Cos = 836
632    Shl = 837
633    Shr = 838
634    Ext = 839
635    Sxt = 840
636    Find_Leftmost = 841
637    Find_Rightmost = 842
638    Last_Ieee_Name = 842
639    First_Synthesis = 843
640    Allconst = 843
641    Allseq = 844
642    Anyconst = 845
643    Anyseq = 846
644    Gclk = 847
645    Last_Synthesis = 847
646    First_Directive = 848
647    Define = 848
648    Endif = 849
649    Ifdef = 850
650    Ifndef = 851
651    Include = 852
652    Timescale = 853
653    Undef = 854
654    Protect = 855
655    Begin_Protected = 856
656    End_Protected = 857
657    Key_Block = 858
658    Data_Block = 859
659    Line = 860
660    Celldefine = 861
661    Endcelldefine = 862
662    Default_Nettype = 863
663    Resetall = 864
664    Last_Directive = 864
665    First_Systask = 865
666    Bits = 865
667    D_Root = 866
668    D_Unit = 867
669    Last_Systask = 867
670    First_SV_Method = 868
671    Size = 868
672    Insert = 869
673    Delete = 870
674    Pop_Front = 871
675    Pop_Back = 872
676    Push_Front = 873
677    Push_Back = 874
678    Name = 875
679    Len = 876
680    Substr = 877
681    Exists = 878
682    Atoi = 879
683    Itoa = 880
684    Find = 881
685    Find_Index = 882
686    Find_First = 883
687    Find_First_Index = 884
688    Find_Last = 885
689    Find_Last_Index = 886
690    Num = 887
691    Randomize = 888
692    Pre_Randomize = 889
693    Post_Randomize = 890
694    Srandom = 891
695    Get_Randstate = 892
696    Set_Randstate = 893
697    Seed = 894
698    State = 895
699    Last_SV_Method = 895
700    First_BSV = 896
701    uAction = 896
702    uActionValue = 897
703    BVI = 898
704    uC = 899
705    uCF = 900
706    uE = 901
707    uSB = 902
708    uSBR = 903
709    Action = 904
710    Endaction = 905
711    Actionvalue = 906
712    Endactionvalue = 907
713    Ancestor = 908
714    Clocked_By = 909
715    Default_Clock = 910
716    Default_Reset = 911
717    Dependencies = 912
718    Deriving = 913
719    Determines = 914
720    Enable = 915
721    Ifc_Inout = 916
722    Input_Clock = 917
723    Input_Reset = 918
724    Instance = 919
725    Endinstance = 920
726    Let = 921
727    Match = 922
728    Method = 923
729    Endmethod = 924
730    Numeric = 925
731    Output_Clock = 926
732    Output_Reset = 927
733    Par = 928
734    Endpar = 929
735    Path = 930
736    Provisos = 931
737    Ready = 932
738    Reset_By = 933
739    Rule = 934
740    Endrule = 935
741    Rules = 936
742    Endrules = 937
743    Same_Family = 938
744    Schedule = 939
745    Seq = 940
746    Endseq = 941
747    Typeclass = 942
748    Endtypeclass = 943
749    Valueof = 944
750    uValueof = 945
751    Last_BSV = 945
752    First_Comment = 946
753    Psl = 946
754    Pragma = 947
755    Synthesis = 948
756    Synopsys = 949
757    Translate_Off = 950
758    Translate_On = 951
759    Translate = 952
760    Synthesis_Off = 953
761    Synthesis_On = 954
762    Off = 955
763    Last_Comment = 955
764    First_PSL = 956
765    A = 956
766    Af = 957
767    Ag = 958
768    Ax = 959
769    Abort = 960
770    Assume_Guarantee = 961
771    Before = 962
772    Clock = 963
773    E = 964
774    Ef = 965
775    Eg = 966
776    Ex = 967
777    Endpoint = 968
778    Eventually = 969
779    Fairness = 970
780    Fell = 971
781    Forall = 972
782    G = 973
783    Inf = 974
784    Inherit = 975
785    Never = 976
786    Next_A = 977
787    Next_E = 978
788    Next_Event = 979
789    Next_Event_A = 980
790    Next_Event_E = 981
791    Prev = 982
792    Rose = 983
793    Strong = 984
794    W = 985
795    Whilenot = 986
796    Within = 987
797    X = 988
798    Last_PSL = 988
799    First_Edif = 989
800    Celltype = 999
801    View = 1000
802    Viewtype = 1001
803    Direction = 1002
804    Contents = 1003
805    Net = 1004
806    Viewref = 1005
807    Cellref = 1006
808    Libraryref = 1007
809    Portinstance = 1008
810    Joined = 1009
811    Portref = 1010
812    Instanceref = 1011
813    Design = 1012
814    Designator = 1013
815    Owner = 1014
816    Member = 1015
817    Number = 1016
818    Rename = 1017
819    Userdata = 1018
820    Last_Edif = 1018
821