Home
last modified time | relevance | path

Searched defs:_Y2 (Results 1 – 1 of 1) sorted by relevance

/dports/cad/tkgate/tkgate-2.1/vlib/
H A D74xx.v684 output _Y0,_Y1,_Y2,_Y3,_Y4,_Y5,_Y6,_Y7,_Y8,_Y9; port
950 output _Y1,_Y2,_Y3,_Y4,_Y5,_Y6,_Y7,_Y8,_Y9,_Y10,_Y11,_Y12,_Y13,_Y14,_Y15,_Y16; port