Home
last modified time | relevance | path

Searched defs:a1_ (Results 1 – 25 of 157) sorted by relevance

1234567

/dports/textproc/zorba/zorba-2.7.0/src/util/
H A Domanip.h72 Arg1Type const a1_; variable
136 Arg1Type const a1_; variable
204 Arg1Type const a1_; variable
277 Arg1Type const a1_; variable
/dports/databases/mariadb103-server/mariadb-10.3.34/mysql-test/suite/roles/
H A Dset_and_drop.result54 connection default;
78 grant select (a) on mysqltest1.t2 to role1;
100 call mysqltest1.pr1();
123 current_role()
/dports/www/firefox-legacy/firefox-52.8.0esr/media/pocketsphinx/src/
H A Ds2_semi_mgau.h54 #include "ms_gauden.h" in group0()
79 /* Log-add table for compressed values. */
/dports/www/firefox-legacy/firefox-52.8.0esr/media/gmp-clearkey/0.1/
H A Dgmp-task-utils-generated.h176 A1 a1_; variable
196 A1 a1_; variable
215 A1 a1_; variable
236 A1 a1_; variable
254 A1 a1_; variable
275 A1 a1_; variable
295 A1 a1_; variable
317 A1 a1_; variable
336 A1 a1_; variable
358 A1 a1_; variable
[all …]
/dports/finance/quantlib/QuantLib-1.20/ql/math/copulas/
H A Dmarshallolkincopula.hpp42 Real a1_, a2_; member in QuantLib::MarshallOlkinCopula
/dports/net-im/tg_owt/tg_owt-d578c76/src/third_party/openh264/src/module/
H A Dtask_utils_generated.h195 A1 a1_; variable
217 A1 a1_; variable
236 A1 a1_; variable
259 A1 a1_; variable
277 A1 a1_; variable
300 A1 a1_; variable
320 A1 a1_; variable
344 A1 a1_; variable
363 A1 a1_; variable
387 A1 a1_; variable
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/openh264/src/module/
H A Dtask_utils_generated.h195 A1 a1_; variable
217 A1 a1_; variable
236 A1 a1_; variable
259 A1 a1_; variable
277 A1 a1_; variable
300 A1 a1_; variable
320 A1 a1_; variable
344 A1 a1_; variable
363 A1 a1_; variable
387 A1 a1_; variable
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/openh264/src/module/
H A Dtask_utils_generated.h195 A1 a1_; variable
217 A1 a1_; variable
236 A1 a1_; variable
259 A1 a1_; variable
277 A1 a1_; variable
300 A1 a1_; variable
320 A1 a1_; variable
344 A1 a1_; variable
363 A1 a1_; variable
387 A1 a1_; variable
[all …]
/dports/multimedia/openh264/openh264-2.1.1/module/
H A Dtask_utils_generated.h195 A1 a1_; variable
217 A1 a1_; variable
236 A1 a1_; variable
259 A1 a1_; variable
277 A1 a1_; variable
300 A1 a1_; variable
320 A1 a1_; variable
344 A1 a1_; variable
363 A1 a1_; variable
387 A1 a1_; variable
[all …]
/dports/graphics/hugin/hugin-2020.0.0/src/hugin_base/vigra_ext/
H A DFunctorAccessor.h184 Acc1 a1_; variable
235 Acc1 a1_; variable
307 Acc1 a1_; variable
402 Acc1 a1_; variable
597 Acc1 a1_; variable
/dports/graphics/enblend/enblend-enfuse-4.2/src/
H A Dfunctoraccessor.hxx181 Acc1 a1_; member in vigra_ext::SplitVector2Accessor
232 Acc1 a1_; member in vigra_ext::SplitVectorNAccessor
304 Acc1 a1_; member in vigra_ext::MergeScalarScalar2VectorAccessor
399 Acc1 a1_; member in vigra_ext::MergeVectorScalar2VectorAccessor
594 Acc1 a1_; member in vigra_ext::ImageSplittingAccessor
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/blink/renderer/platform/audio/
H A Dbiquad.h112 AudioDoubleArray a1_; variable
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/blink/renderer/platform/audio/
H A Dbiquad.h112 AudioDoubleArray a1_; variable
/dports/graphics/R-cran-s2/s2/src/s2/
H A Ds2crossing_edge_query.h204 R2Point a0_, a1_; variable
/dports/graphics/s2/s2geometry-0.9.0/src/s2/
H A Ds2crossing_edge_query.h204 R2Point a0_, a1_; variable
/dports/graphics/py-s2/s2geometry-0.9.0/src/s2/
H A Ds2crossing_edge_query.h204 R2Point a0_, a1_; variable
/dports/math/blaze/blaze-3.8/blaze/math/functors/
H A DBind1st.h125 A1 a1_; //!< The 1st argument. member
/dports/finance/quantlib/QuantLib-1.20/ql/math/distributions/
H A Dnormaldistribution.cpp71 const Real InverseCumulativeNormal::a1_ = -3.969683028665376e+01; member in QuantLib::InverseCumulativeNormal
130 const Real MoroInverseCumulativeNormal::a1_ =-18.61500062529; member in QuantLib::MoroInverseCumulativeNormal
/dports/mail/thunderbird/thunderbird-91.8.0/third_party/libwebrtc/webrtc/api/
H A Dproxy.h198 T1 a1_; variable
219 T1 a1_; variable
243 T1 a1_; variable
272 T1 a1_; variable
305 T1 a1_; variable
340 T1 a1_; variable
/dports/www/firefox-esr/firefox-91.8.0/third_party/libwebrtc/webrtc/api/
H A Dproxy.h198 T1 a1_; variable
219 T1 a1_; variable
243 T1 a1_; variable
272 T1 a1_; variable
305 T1 a1_; variable
340 T1 a1_; variable
/dports/lang/spidermonkey78/firefox-78.9.0/media/webrtc/trunk/webrtc/api/
H A Dproxy.h198 T1 a1_; variable
219 T1 a1_; variable
243 T1 a1_; variable
272 T1 a1_; variable
305 T1 a1_; variable
340 T1 a1_; variable
/dports/devel/hyperscan/boost_1_75_0/boost/format/
H A Dgroup.hpp54 T1 a1_; member
78 T1 a1_; member
100 T1 a1_; member
123 T1 a1_; member
147 T1 a1_; member
170 T1 a1_; member
194 T1 a1_; member
219 T1 a1_; member
245 T1 a1_; member
272 T1 a1_; member
/dports/math/pdal/PDAL-2.3.0/vendor/pdalboost/boost/format/
H A Dgroup.hpp54 T1 a1_; member
78 T1 a1_; member
100 T1 a1_; member
123 T1 a1_; member
147 T1 a1_; member
170 T1 a1_; member
194 T1 a1_; member
219 T1 a1_; member
245 T1 a1_; member
272 T1 a1_; member
/dports/science/py-scipy/scipy-1.7.1/scipy/_lib/boost/boost/format/
H A Dgroup.hpp54 T1 a1_; member
78 T1 a1_; member
100 T1 a1_; member
123 T1 a1_; member
147 T1 a1_; member
170 T1 a1_; member
194 T1 a1_; member
219 T1 a1_; member
245 T1 a1_; member
272 T1 a1_; member
/dports/devel/R-cran-BH/BH/inst/include/boost/format/
H A Dgroup.hpp54 T1 a1_; member
78 T1 a1_; member
100 T1 a1_; member
123 T1 a1_; member
147 T1 a1_; member
170 T1 a1_; member
194 T1 a1_; member
219 T1 a1_; member
245 T1 a1_; member
272 T1 a1_; member

1234567