Home
last modified time | relevance | path

Searched defs:aAdcRx_n (Results 1 – 4 of 4) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/
H A DJesd204bXcvrCore_stub.vhd33 aAdcRx_n : in STD_LOGIC_VECTOR ( 3 downto 0 ); port
H A DDbCore.vhd100 aAdcRx_n : in std_logic_vector(3 downto 0); port
193 aAdcRx_n : in STD_LOGIC_VECTOR(3 downto 0); port in DbCore.RTL.Jesd204bXcvrCore
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/
H A DJesd204bXcvrCore_stub.vhd33 aAdcRx_n : in STD_LOGIC_VECTOR ( 3 downto 0 ); port
H A DDbCore.vhd99 aAdcRx_n : in std_logic_vector(3 downto 0); port
190 aAdcRx_n : in STD_LOGIC_VECTOR(3 downto 0); port in DbCore.RTL.Jesd204bXcvrCore