Searched defs:aAdcRx_n (Results 1 – 4 of 4) sorted by relevance
33 aAdcRx_n : in STD_LOGIC_VECTOR ( 3 downto 0 ); port
100 aAdcRx_n : in std_logic_vector(3 downto 0); port193 aAdcRx_n : in STD_LOGIC_VECTOR(3 downto 0); port in DbCore.RTL.Jesd204bXcvrCore
99 aAdcRx_n : in std_logic_vector(3 downto 0); port190 aAdcRx_n : in STD_LOGIC_VECTOR(3 downto 0); port in DbCore.RTL.Jesd204bXcvrCore