1
2library ieee;
3use ieee.std_logic_1164.all;
4
5entity acomp is
6    port (x: in std_ulogic; y: out std_ulogic);
7end entity;
8
9architecture aarch of acomp is
10begin
11
12    y <= x;
13
14end architecture;
15