1 //
2 // Copyright 2019 Ettus Research, a National Instruments Brand
3 //
4 // SPDX-License-Identifier: GPL-3.0-or-later
5 //
6 
7 #pragma once
8 
9 #include <cstddef>
10 
11 namespace uhd { namespace transport {
12 
13 //! Host transport adapter ID
14 using adapter_id_t = size_t;
15 //! NULL/unassigned host transport adapter ID
16 static const adapter_id_t NULL_ADAPTER_ID = 0;
17 
18 }} // namespace uhd::transport
19