Home
last modified time | relevance | path

Searched defs:addr_a (Results 1 – 25 of 306) sorted by relevance

12345678910>>...13

/dports/net/wireshark/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
169 guint32 addr_a, addr_b, nmask; in cmp_lt() local
180 guint32 addr_a, addr_b, nmask; in cmp_le() local
191 guint32 addr_a; in cmp_bitwise_and() local
/dports/net/wireshark-lite/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
169 guint32 addr_a, addr_b, nmask; in cmp_lt() local
180 guint32 addr_a, addr_b, nmask; in cmp_le() local
191 guint32 addr_a; in cmp_bitwise_and() local
/dports/net/tshark-lite/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
169 guint32 addr_a, addr_b, nmask; in cmp_lt() local
180 guint32 addr_a, addr_b, nmask; in cmp_le() local
191 guint32 addr_a; in cmp_bitwise_and() local
/dports/net/tshark/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
169 guint32 addr_a, addr_b, nmask; in cmp_lt() local
180 guint32 addr_a, addr_b, nmask; in cmp_le() local
191 guint32 addr_a; in cmp_bitwise_and() local
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/common/
H A Dlutram.v5 input [A_WIDTH:1] addr_a, port
26 input [A_WIDTH:1] addr_a, addr_b, addr_c, port
/dports/cad/yosys/yosys-yosys-0.12/tests/memories/
H A Dfirrtl_938.v4 input [6:1] addr_a, port
/dports/net/honeyd/honeyd-1.5c/
H A Dnetwork.c66 struct addr addr_a, addr_b; in network_compare() local
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1348/
H A Dtb_sdp_simple.vhdl13 signal addr_a : std_logic_vector(11 downto 0); signal
H A Dsdp_simple.vhdl12 addr_a : in std_logic_vector(11 downto 0); port
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1069/
H A Dram41.vhdl14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
H A Dram5.vhdl14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
H A Dram4.vhdl14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
H A Dtb_ram3.vhdl14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
H A Dtb_ram4.vhdl14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
H A Dtb_ram5.vhdl14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
H A Dtb_ram41.vhdl14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
H A Dram3.vhdl14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
H A Dtb_tdp_ram.vhdl20 signal addr_a : std_logic_vector(ADDRWIDTH_A - 1 downto 0); signal
H A Dtdp_ram_single2.vhdl20 addr_a : in std_logic_vector(ADDRWIDTH_A - 1 downto 0); port
H A Dtb_tdp_ram2.vhdl20 signal addr_a : std_logic_vector(ADDRWIDTH_A - 1 downto 0); signal
H A Dtdp_ram_single.vhdl20 addr_a : in std_logic_vector(ADDRWIDTH_A - 1 downto 0); port
/dports/lang/ocaml/ocaml-4.05.0/testsuite/tests/translprim/
H A Darray_spec.ml11 let addr_a = [|"a";"b";"c"|];; var
/dports/lang/ocaml-nox11/ocaml-4.05.0/testsuite/tests/translprim/
H A Darray_spec.ml11 let addr_a = [|"a";"b";"c"|];; var
/dports/net/sniproxy/sniproxy-0.6.0/tests/
H A Daddress_test.c54 struct Address *addr_a = new_address(a); in compare_address_strings() local
/dports/mail/neomutt/neomutt-20211029/alias/
H A Dsort.c92 const struct Address *addr_a = TAILQ_FIRST(al_a); in alias_sort_address() local

12345678910>>...13