/dports/net/wireshark/wireshark-3.6.1/epan/ftypes/ |
H A D | ftype-ipv4.c | 125 guint32 addr_a, addr_b, nmask; in cmp_eq() local 136 guint32 addr_a, addr_b, nmask; in cmp_ne() local 147 guint32 addr_a, addr_b, nmask; in cmp_gt() local 158 guint32 addr_a, addr_b, nmask; in cmp_ge() local 169 guint32 addr_a, addr_b, nmask; in cmp_lt() local 180 guint32 addr_a, addr_b, nmask; in cmp_le() local 191 guint32 addr_a; in cmp_bitwise_and() local
|
/dports/net/wireshark-lite/wireshark-3.6.1/epan/ftypes/ |
H A D | ftype-ipv4.c | 125 guint32 addr_a, addr_b, nmask; in cmp_eq() local 136 guint32 addr_a, addr_b, nmask; in cmp_ne() local 147 guint32 addr_a, addr_b, nmask; in cmp_gt() local 158 guint32 addr_a, addr_b, nmask; in cmp_ge() local 169 guint32 addr_a, addr_b, nmask; in cmp_lt() local 180 guint32 addr_a, addr_b, nmask; in cmp_le() local 191 guint32 addr_a; in cmp_bitwise_and() local
|
/dports/net/tshark-lite/wireshark-3.6.1/epan/ftypes/ |
H A D | ftype-ipv4.c | 125 guint32 addr_a, addr_b, nmask; in cmp_eq() local 136 guint32 addr_a, addr_b, nmask; in cmp_ne() local 147 guint32 addr_a, addr_b, nmask; in cmp_gt() local 158 guint32 addr_a, addr_b, nmask; in cmp_ge() local 169 guint32 addr_a, addr_b, nmask; in cmp_lt() local 180 guint32 addr_a, addr_b, nmask; in cmp_le() local 191 guint32 addr_a; in cmp_bitwise_and() local
|
/dports/net/tshark/wireshark-3.6.1/epan/ftypes/ |
H A D | ftype-ipv4.c | 125 guint32 addr_a, addr_b, nmask; in cmp_eq() local 136 guint32 addr_a, addr_b, nmask; in cmp_ne() local 147 guint32 addr_a, addr_b, nmask; in cmp_gt() local 158 guint32 addr_a, addr_b, nmask; in cmp_ge() local 169 guint32 addr_a, addr_b, nmask; in cmp_lt() local 180 guint32 addr_a, addr_b, nmask; in cmp_le() local 191 guint32 addr_a; in cmp_bitwise_and() local
|
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/common/ |
H A D | lutram.v | 5 input [A_WIDTH:1] addr_a, port 26 input [A_WIDTH:1] addr_a, addr_b, addr_c, port
|
/dports/cad/yosys/yosys-yosys-0.12/tests/memories/ |
H A D | firrtl_938.v | 4 input [6:1] addr_a, port
|
/dports/net/honeyd/honeyd-1.5c/ |
H A D | network.c | 66 struct addr addr_a, addr_b; in network_compare() local
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1348/ |
H A D | tb_sdp_simple.vhdl | 13 signal addr_a : std_logic_vector(11 downto 0); signal
|
H A D | sdp_simple.vhdl | 12 addr_a : in std_logic_vector(11 downto 0); port
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1069/ |
H A D | ram41.vhdl | 14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
|
H A D | ram5.vhdl | 14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
|
H A D | ram4.vhdl | 14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
|
H A D | tb_ram3.vhdl | 14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
|
H A D | tb_ram4.vhdl | 14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
|
H A D | tb_ram5.vhdl | 14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
|
H A D | tb_ram41.vhdl | 14 signal addr_a : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
|
H A D | ram3.vhdl | 14 addr_a : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
|
H A D | tb_tdp_ram.vhdl | 20 signal addr_a : std_logic_vector(ADDRWIDTH_A - 1 downto 0); signal
|
H A D | tdp_ram_single2.vhdl | 20 addr_a : in std_logic_vector(ADDRWIDTH_A - 1 downto 0); port
|
H A D | tb_tdp_ram2.vhdl | 20 signal addr_a : std_logic_vector(ADDRWIDTH_A - 1 downto 0); signal
|
H A D | tdp_ram_single.vhdl | 20 addr_a : in std_logic_vector(ADDRWIDTH_A - 1 downto 0); port
|
/dports/lang/ocaml/ocaml-4.05.0/testsuite/tests/translprim/ |
H A D | array_spec.ml | 11 let addr_a = [|"a";"b";"c"|];; var
|
/dports/lang/ocaml-nox11/ocaml-4.05.0/testsuite/tests/translprim/ |
H A D | array_spec.ml | 11 let addr_a = [|"a";"b";"c"|];; var
|
/dports/net/sniproxy/sniproxy-0.6.0/tests/ |
H A D | address_test.c | 54 struct Address *addr_a = new_address(a); in compare_address_strings() local
|
/dports/mail/neomutt/neomutt-20211029/alias/ |
H A D | sort.c | 92 const struct Address *addr_a = TAILQ_FIRST(al_a); in alias_sort_address() local
|