Home
last modified time | relevance | path

Searched defs:bRegPortOutFlat (Results 1 – 5 of 5) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/
H A DJesd204bXcvrCore_stub.vhd27 bRegPortOutFlat : out STD_LOGIC_VECTOR ( 33 downto 0 ); port
H A DDbCore.vhd77 bRegPortOutFlat : out std_logic_vector(33 downto 0); port
187 bRegPortOutFlat : out STD_LOGIC_VECTOR(33 downto 0); port in DbCore.RTL.Jesd204bXcvrCore
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/
H A DJesd204bXcvrCore_stub.vhd23 bRegPortOutFlat : out STD_LOGIC_VECTOR ( 33 downto 0 ); port
H A DDbCore.vhd76 bRegPortOutFlat : out std_logic_vector(33 downto 0); port
180 bRegPortOutFlat : out STD_LOGIC_VECTOR(33 downto 0); port in DbCore.RTL.Jesd204bXcvrCore
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/common/sync/
H A DTdcWrapper.vhd71 bRegPortOutFlat : out std_logic_vector(33 downto 0); port in TdcWrapper.struct.SyncRegsIfc