Searched defs:bRegPortOutFlat (Results 1 – 5 of 5) sorted by relevance
27 bRegPortOutFlat : out STD_LOGIC_VECTOR ( 33 downto 0 ); port
77 bRegPortOutFlat : out std_logic_vector(33 downto 0); port187 bRegPortOutFlat : out STD_LOGIC_VECTOR(33 downto 0); port in DbCore.RTL.Jesd204bXcvrCore
23 bRegPortOutFlat : out STD_LOGIC_VECTOR ( 33 downto 0 ); port
76 bRegPortOutFlat : out std_logic_vector(33 downto 0); port180 bRegPortOutFlat : out STD_LOGIC_VECTOR(33 downto 0); port in DbCore.RTL.Jesd204bXcvrCore
71 bRegPortOutFlat : out std_logic_vector(33 downto 0); port in TdcWrapper.struct.SyncRegsIfc