Home
last modified time | relevance | path

Searched defs:dff (Results 1 – 25 of 154) sorted by relevance

1234567

/dports/math/freefem++/FreeFem-sources-4.6/plugin/seq/
H A Dmmg3d-v4.0.cpp52 DataFF *dff = (DataFF *)dataff; in set_mesh() local
79 DataFF *dff = (DataFF *)dataff; in end_mesh() local
114 DataFF *dff = (DataFF *)dataff; in set_v() local
130 DataFF *dff = (DataFF *)dataff; in set_elmt() local
160 DataFF *dff = (DataFF *)dataff; in get_mesh() local
180 DataFF *dff = (DataFF *)dataff; in get_v3() local
195 DataFF *dff = (DataFF *)dataff; in get_elmt() local
309 DataFF dff; in operator ( )() local
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug075/
H A Ddff.vhdl5 entity dff is entity
25 entity dff is entity
/dports/cad/yosys/yosys-yosys-0.12/techlibs/coolrunner2/
H A Dtff_extract.v13 $_DFFSR_PPP_ dff ( instance
34 $_DFFSR_NPP_ dff ( instance
/dports/net-im/gloox/gloox-1.0.24/src/
H A Dsiprofileft.cpp84 DataFormField* dff = df.addField( DataFormField::TypeListSingle, "stream-method" ); in requestFT() local
109 DataFormField* dff = new DataFormField( "stream-method" ); in acceptFT() local
199 const DataFormField* dff = df.field( "stream-method" ); in handleSIRequest() local
234 const DataFormField* dff = df.field( "stream-method" ); in handleSIRequestResult() local
/dports/graphics/qt5-3d/kde-qt3d-5.15.2p39/src/extras/text/
H A Dqdistancefieldglyphcache.cpp290 DistanceFieldFont *dff = new DistanceFieldFont(actualFont, useDoubleRes, nullptr); in getOrCreateDistanceFieldFont() local
320 QDistanceFieldGlyphCache::Glyph refAndGetGlyph(DistanceFieldFont *dff, quint32 glyph) in refAndGetGlyph()
340 DistanceFieldFont *dff = getOrCreateDistanceFieldFont(run.rawFont()); in refGlyphs() local
357 DistanceFieldFont *dff = getOrCreateDistanceFieldFont(run.rawFont()); in derefGlyphs() local
/dports/cad/yosys/yosys-yosys-0.12/tests/asicworld/
H A Dcode_verilog_tutorial_if_else.v3 reg dff; register
H A Dcode_verilog_tutorial_explicit.v19 module dff (q, q_bar, clk, d, rst, pre); module
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/design-processing/
H A Ddff.vhd22 entity dff is entity
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/common/
H A Ddffs.v1 module dff ( input d, clk, output reg q ); module
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth27/
H A Ddff.vhdl4 entity dff is entity
/dports/math/hs-Agda/Agda-2.6.2/_cabal_deps/alex-3.2.5/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/hs-threadscope/threadscope-0.2.14/_cabal_deps/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/games/hs-scroll/scroll-1.20180421/_cabal_deps/alex-3.2.5/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/finance/hs-hledger-web/hledger-web-1.19/_cabal_deps/alex-3.2.5/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/hs-alex/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/hs-ormolu/ormolu-0.4.0.0/_cabal_deps/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/hs-hlint/hlint-3.3.4/_cabal_deps/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/hs-haskell-language-server/haskell-language-server-1.4.0/_cabal_deps/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/security/hs-cryptol/cryptol-2.11.0/_cabal_deps/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/www/hs-hjsmin/hjsmin-0.2.0.4/_cabal_deps/alex-3.2.5/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/hs-git-annex/git-annex-8.20210903/_cabal_deps/alex-3.2.5/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/lang/purescript/purescript-0.14.5/_cabal_deps/alex-3.2.6/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/x11/conlecterm/conlecterm-1.4.3.0/_cabal_deps/alex-3.2.5/src/
H A DDFS.hs102 dff g = dff' (vertices g) g function
105 dff' vs (_bs, f) = prune (map (generate_g f) vs) function
/dports/devel/icestorm/icestorm-710470f9/icefuzz/tests/
H A Dcolbufs.v45 SB_DFF dff ( instance
/dports/math/octave-forge-optim/optim-1.6.1/inst/
H A Dtest_min_4.m44 function dv = dff(x,y,t) function

1234567