Home
last modified time | relevance | path

Searched defs:do_end (Results 76 – 100 of 175) sorted by relevance

1234567

/dports/devel/llvm70/llvm-7.0.1.src/tools/clang/test/CodeGenCXX/
H A Ddebug-info-use-after-free.cpp159 typename > struct binary_function; in do_end()
/dports/devel/gdb/gdb-11.1/gdb/mi/
H A Dmi-out.c90 mi_ui_out::do_end (ui_out_type type) in do_end() function
/dports/audio/mp3c/mp3c-0.31/src/
H A Dselect_box.c164 void do_end(int len, int height, WINDOW *fs_win) in do_end() function
/dports/graphics/lazpaint/lazpaint-7.1.6/resources/scripts/lazpaint/
H A Dimage.py175 def do_end() -> bool: function
/dports/editors/nano/nano-5.9/src/
H A Dmove.c459 void do_end(void) in do_end() function
/dports/devel/brz/breezy-3.2.0/breezy/bzr/smart/
H A Drequest.py170 def do_end(self): member in SmartServerRequest
H A Dbranch.py159 def do_end(self): member in SmartServerBranchSetTagsBytes
/dports/devel/gdb/gdb-11.1/gdb/
H A Dcli-out.c89 cli_ui_out::do_end (ui_out_type type) in do_end() function
/dports/graphics/blender/blender-2.91.0/extern/mantaflow/helper/util/
H A Drcmatrix.h58 # define do_end \ macro
82 # define do_end macro
/dports/japanese/libslang/slang-1.4.5jp2/src/
H A Dslstrops.c89 char **end, int do_end, in do_trim()
228 static void strtrim_cmd_internal (char *str, int do_beg, int do_end) in strtrim_cmd_internal()
/dports/math/gnuplot/gnuplot-5.4.1/src/
H A Dcommand.c1357 int do_start, do_end; in do_command() local
1418 int do_start, do_end; in while_command() local
/dports/math/gnuplot-lite/gnuplot-5.4.1/src/
H A Dcommand.c1357 int do_start, do_end; in do_command() local
1418 int do_start, do_end; in while_command() local
/dports/math/gnuplot-tex-extras/gnuplot-5.2.8/src/
H A Dcommand.c1341 int do_start, do_end; in do_command() local
1395 int do_start, do_end; in while_command() local
/dports/devel/libslang2/slang-2.3.2/src/
H A Dslstrops.c90 SLuchar_Type **end, int do_end, in do_trim()
1347 int do_beg, do_end; member
1367 static int strtrim_internal (int do_beg, int do_end) in strtrim_internal()
/dports/chinese/FreeWnn-server/FreeWnn-1.1.1-a021/Wnn/uum/
H A Djhlp.c1723 do_end () function
/dports/chinese/FreeWnn-lib/FreeWnn-1.1.1-a021/Wnn/uum/
H A Djhlp.c1723 do_end () function
/dports/japanese/FreeWnn-server/FreeWnn-1.1.1-a021/Wnn/uum/
H A Djhlp.c1723 do_end () function
/dports/japanese/FreeWnn-lib/FreeWnn-1.1.1-a021/Wnn/uum/
H A Djhlp.c1723 do_end () function
/dports/korean/FreeWnn-server/FreeWnn-1.1.1-a021/Wnn/uum/
H A Djhlp.c1723 do_end () function
/dports/korean/FreeWnn-lib/FreeWnn-1.1.1-a021/Wnn/uum/
H A Djhlp.c1723 do_end () function
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/net/
H A Dsb1000.c550 unsigned char version[], int do_end) in sb1000_get_firmware_version()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/net/
H A Dsb1000.c550 unsigned char version[], int do_end) in sb1000_get_firmware_version()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/net/
H A Dsb1000.c550 unsigned char version[], int do_end) in sb1000_get_firmware_version()
/dports/japanese/canna-lib/Canna37p3/canuum/
H A Djhlp.c1982 do_end () function
/dports/japanese/canna-server/Canna37p3/canuum/
H A Djhlp.c1982 do_end () function

1234567