Home
last modified time | relevance | path

Searched defs:i_data (Results 1 – 25 of 503) sorted by relevance

12345678910>>...21

/dports/multimedia/vlc/vlc-3.0.16/modules/demux/mpeg/
H A Dmpeg4_iod.c121 static bool OD_SLDesc_Read( vlc_object_t *p_object, unsigned i_data, const uint8_t *p_data, in OD_SLDesc_Read()
179 static bool OD_DecSpecificDesc_Read( vlc_object_t *p_object, unsigned i_data, const uint8_t *p_data, in OD_DecSpecificDesc_Read()
195 static bool OD_DecConfigDesc_Read( vlc_object_t *p_object, unsigned i_data, const uint8_t *p_data, in OD_DecConfigDesc_Read()
221 static bool OD_ESDesc_Read( vlc_object_t *p_object, unsigned i_data, const uint8_t *p_data, in OD_ESDesc_Read()
267 static bool OD_InitialObjectDesc_Read( vlc_object_t *p_object, unsigned i_data, in OD_InitialObjectDesc_Read()
452 static uint8_t ODInit( vlc_object_t *p_object, unsigned i_data, const uint8_t *p_data, in ODInit()
467 od_descriptor_t *IODNew( vlc_object_t *p_object, unsigned i_data, const uint8_t *p_data ) in IODNew()
527 sl_header_data DecodeSLHeader( unsigned i_data, const uint8_t *p_data, in DecodeSLHeader()
632 unsigned i_data, const uint8_t *p_data ) in ObjectDescrUpdateCommandRead()
654 unsigned i_data, const uint8_t *p_data ) in ObjectDescrRemoveCommandRead()
[all …]
H A Dts_arib.c122 size_t i_data = i_in - 8; in ts_arib_inject_png_palette() local
168 ts_arib_logo_dr_t * ts_arib_logo_dr_Decode( const uint8_t *p_data, size_t i_data ) in ts_arib_logo_dr_Decode()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1144/
H A Dissue.vhdl5 port (i_data : in std_logic_vector(8 downto 0); port
11 alias i_hi is i_data(3 downto 0); alias
/dports/multimedia/v4l_compat/linux-5.13-rc2/fs/ext4/
H A Dmigrate.c95 __le32 *i_data; in update_ind_extent_range() local
124 __le32 *i_data; in update_dind_extent_range() local
154 __le32 *i_data; in update_tind_extent_range() local
180 struct inode *inode, __le32 i_data) in free_dind_blocks()
221 struct inode *inode, __le32 i_data) in free_tind_blocks()
254 static int free_ind_block(handle_t *handle, struct inode *inode, __le32 *i_data) in free_ind_block()
291 __le32 i_data[3]; in ext4_ext_swap_inode_data() local
415 __le32 *i_data; in ext4_ext_migrate() local
/dports/multimedia/libv4l/linux-5.13-rc2/fs/ext4/
H A Dmigrate.c95 __le32 *i_data; in update_ind_extent_range() local
124 __le32 *i_data; in update_dind_extent_range() local
154 __le32 *i_data; in update_tind_extent_range() local
180 struct inode *inode, __le32 i_data) in free_dind_blocks()
221 struct inode *inode, __le32 i_data) in free_tind_blocks()
254 static int free_ind_block(handle_t *handle, struct inode *inode, __le32 *i_data) in free_ind_block()
291 __le32 i_data[3]; in ext4_ext_swap_inode_data() local
415 __le32 *i_data; in ext4_ext_migrate() local
/dports/multimedia/v4l-utils/linux-5.13-rc2/fs/ext4/
H A Dmigrate.c95 __le32 *i_data; in update_ind_extent_range() local
124 __le32 *i_data; in update_dind_extent_range() local
154 __le32 *i_data; in update_tind_extent_range() local
180 struct inode *inode, __le32 i_data) in free_dind_blocks()
221 struct inode *inode, __le32 i_data) in free_tind_blocks()
254 static int free_ind_block(handle_t *handle, struct inode *inode, __le32 *i_data) in free_ind_block()
291 __le32 i_data[3]; in ext4_ext_swap_inode_data() local
415 __le32 *i_data; in ext4_ext_migrate() local
/dports/multimedia/vlc/vlc-3.0.16/include/
H A Dvlc_block_helper.h148 size_t i_data ) in block_WaitBytes()
156 uint8_t *p_data, size_t i_data ) in block_PeekBytes()
185 uint8_t *p_data, size_t i_data ) in block_GetBytes()
221 size_t i_data ) in block_SkipBytes()
232 size_t i_peek_offset, uint8_t *p_data, size_t i_data ) in block_PeekOffsetBytes()
/dports/multimedia/vlc/vlc-3.0.16/modules/stream_out/
H A Drtpfmt.c705 int i_data; in rtp_packetize_xiph_config() local
771 int i_data = in->i_buffer; in rtp_packetize_xiph() local
826 int i_data = in->i_buffer; in rtp_packetize_mpa() local
862 int i_data = in->i_buffer; in rtp_packetize_mpv() local
946 int i_data = in->i_buffer; in rtp_packetize_ac3() local
995 int i_data = in->i_buffer; in rtp_packetize_split() local
1092 int i_data = in->i_buffer; in rtp_packetize_mp4a_latm() local
1143 int i_data = in->i_buffer; in rtp_packetize_mp4a() local
1183 int i_data = in->i_buffer; in rtp_packetize_h263() local
1411 int i_data = in->i_buffer; in rtp_packetize_amr() local
[all …]
/dports/multimedia/vlc/vlc-3.0.16/modules/access/dtv/
H A Den50221_capmt.h42 const uint8_t *p_data, uint8_t i_data ) in en50221_capmt_CADescriptorAppend()
75 const uint8_t *p_data, uint8_t i_data ) in en50221_capmt_AddESCADescriptor()
82 const uint8_t *p_data, uint8_t i_data ) in en50221_capmt_AddCADescriptor()
/dports/devel/papilio-loader/Papilio-Loader-dd111c4/xc3sprog/trunk/
H A Dprogalgxc95x.cpp86 byte i_data[3]={0x3,0,0}; in flow_blank_check() local
120 byte i_data[MaxDRegLength+2]; in flow_array_program() local
205 byte i_data[MaxDRegLength+2]; in flow_array_read() local
275 byte i_data[MaxDRegLength+2]; in flow_array_verify() local
H A Dprogalgxc2c.cpp169 byte i_data[1]; in blank_check() local
203 byte i_data[MAXSIZE]; in array_program() local
295 byte i_data[MAXSIZE]; in done_program() local
/dports/devel/xc3sprog/xc3sprog-r769/
H A Dprogalgxc95x.cpp86 byte i_data[3]={0x3,0,0}; in flow_blank_check() local
120 byte i_data[MaxDRegLength+2]; in flow_array_program() local
205 byte i_data[MaxDRegLength+2]; in flow_array_read() local
275 byte i_data[MaxDRegLength+2]; in flow_array_verify() local
H A Dprogalgxc2c.cpp169 byte i_data[1]; in blank_check() local
203 byte i_data[MAXSIZE]; in array_program() local
295 byte i_data[MAXSIZE]; in done_program() local
/dports/editors/libreoffice6/libreoffice-6.4.7.2/unotools/source/misc/
H A Dcloseveto.cxx97 void lcl_init( CloseVeto_Data& i_data, const Reference< XInterface >& i_closeable, in lcl_init()
107 void lcl_deinit( CloseVeto_Data const & i_data ) in lcl_deinit()
/dports/editors/libreoffice/libreoffice-7.2.6.2/unotools/source/misc/
H A Dcloseveto.cxx101 void lcl_init( CloseVeto_Data& i_data, const Reference< XInterface >& i_closeable, in lcl_init()
111 void lcl_deinit( CloseVeto_Data const & i_data ) in lcl_deinit()
/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_inst_misarray2_bad.v14 input wire signed [16:0] i_data // Misdeclared, not a vector port
/dports/editors/libreoffice/libreoffice-7.2.6.2/chart2/source/controller/main/
H A DChartModelClone.cxx162 …ataToModel( const Reference< XModel >& i_model, const Reference< XInternalDataProvider > & i_data ) in ImplApplyDataToModel()
182 void ChartModelClone::applyModelContentToModel( const Reference< XModel >& i_model, in applyModelContentToModel()
/dports/editors/libreoffice6/libreoffice-6.4.7.2/chart2/source/controller/main/
H A DChartModelClone.cxx162 …ataToModel( const Reference< XModel >& i_model, const Reference< XInternalDataProvider > & i_data ) in ImplApplyDataToModel()
182 void ChartModelClone::applyModelContentToModel( const Reference< XModel >& i_model, in applyModelContentToModel()
/dports/multimedia/vlc/vlc-3.0.16/modules/access/mms/
H A Dbuffer.h30 int i_data; // number of bytes set in p_data member
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug081/
H A Ddummy.vhdl20 …signal i_data: in std_logic_vector(IM_TOTALLY_NOT_NEGATIVE-1 downto 0); … port
/dports/multimedia/vlc/vlc-3.0.16/modules/codec/
H A Dtextst.c172 static size_t textst_Decode_palette(decoder_t *p_dec, const uint8_t *p_data, size_t i_data) in textst_Decode_palette()
193 static void textst_FillRegions(decoder_t *p_dec, const uint8_t *p_data, size_t i_data, in textst_FillRegions()
/dports/editors/libreoffice6/libreoffice-6.4.7.2/framework/source/fwe/helper/
H A Ddocumentundoguard.cxx171 …void lcl_init( DocumentUndoGuard_Data& i_data, const Reference< XInterface >& i_undoSupplierCompon… in lcl_init()
188 void lcl_restore( DocumentUndoGuard_Data& i_data ) in lcl_restore()
/dports/lang/mono/mono-5.10.1.57/mcs/tests/
H A Dtest-async-86.cs30 string i_data = "init2-"; field in Program
/dports/editors/libreoffice/libreoffice-7.2.6.2/toolkit/source/controls/grid/
H A Ddefaultgriddatamodel.cxx255 … void SAL_CALL DefaultGridDataModel::addRow( const Any& i_heading, const Sequence< Any >& i_data ) in addRow()
261 …dDataModel::addRows( const Sequence< Any >& i_headings, const Sequence< Sequence< Any > >& i_data ) in addRows()
267 …ridDataModel::insertRow( ::sal_Int32 i_index, const Any& i_heading, const Sequence< Any >& i_data ) in insertRow()
290 … ::sal_Int32 i_index, const Sequence< Any>& i_headings, const Sequence< Sequence< Any > >& i_data ) in insertRows()
/dports/editors/libreoffice6/libreoffice-6.4.7.2/toolkit/source/controls/grid/
H A Ddefaultgriddatamodel.cxx254 … void SAL_CALL DefaultGridDataModel::addRow( const Any& i_heading, const Sequence< Any >& i_data ) in addRow()
260 …dDataModel::addRows( const Sequence< Any >& i_headings, const Sequence< Sequence< Any > >& i_data ) in addRows()
266 …ridDataModel::insertRow( ::sal_Int32 i_index, const Any& i_heading, const Sequence< Any >& i_data ) in insertRow()
289 … ::sal_Int32 i_index, const Sequence< Any>& i_headings, const Sequence< Sequence< Any > >& i_data ) in insertRows()

12345678910>>...21