Home
last modified time | relevance | path

Searched defs:int_output (Results 1 – 22 of 22) sorted by relevance

/dports/lang/halide/Halide-release_2019_08_27-2654-g664dc4993/test/generator/
H A Dstubuser_generator.cpp34 Output<Buffer<int>> int_output{"int_output", 3}; member in __anond245e11a0111::StubUser
H A Dstubuser_aottest.cpp60 Buffer<int> int_output(kSize, kSize, 3); in main() local
/dports/math/cgal/CGAL-5.3/include/CGAL/
H A DSnap_rounding_2.h311 Hot_pixel<Traits_>::get_center(bool int_output) const in get_center()
622 bool int_output) in reroute_sr()
645 bool int_output, in reroute_isr()
690 bool int_output, bool do_isr, in iterate()
/dports/devel/libdisasm/libdisasm-0.23/perl/
H A Dx86disasm.pl2152 sub int_output { subroutine
/dports/multimedia/gstreamer1-plugins-svt-av1/SVT-AV1-3971c982311d49f9355dc8dccdcf8d21b70fa624/test/ref/
H A DTxfmRef.cc173 TranLow int_output[4]; in reference_adst_1d() local
/dports/multimedia/svt-av1/SVT-AV1-3971c982311d49f9355dc8dccdcf8d21b70fa624/test/ref/
H A DTxfmRef.cc173 TranLow int_output[4]; in reference_adst_1d() local
/dports/lang/spl/spl-1.2.1/
H A Dlibspl.c381 void int_output(int line, CHARACTER *character) in int_output() function
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/libaom/source/libaom/test/
H A Dav1_txfm_test.cc171 tran_low_t int_output[4]; in reference_adst_1d() local
/dports/www/firefox-esr/firefox-91.8.0/third_party/aom/test/
H A Dav1_txfm_test.cc171 tran_low_t int_output[4]; in reference_adst_1d() local
/dports/www/firefox/firefox-99.0/third_party/aom/test/
H A Dav1_txfm_test.cc171 tran_low_t int_output[4]; in reference_adst_1d() local
/dports/mail/thunderbird/thunderbird-91.8.0/third_party/aom/test/
H A Dav1_txfm_test.cc171 tran_low_t int_output[4]; in reference_adst_1d() local
/dports/devel/thrust/thrust-1.9.5/testing/
H A Dscan.cu248 IntVector int_output(4); in TestScanMixedTypes() local
/dports/multimedia/aom/aom-3.2.0/test/
H A Dav1_txfm_test.cc171 tran_low_t int_output[4]; in reference_adst_1d() local
/dports/lang/spidermonkey78/firefox-78.9.0/third_party/aom/test/
H A Dav1_txfm_test.cc171 tran_low_t int_output[4]; in reference_adst_1d() local
/dports/security/vaultwarden/vaultwarden-1.23.1/cargo-crates/trust-dns-proto-0.20.3/src/rr/dnssec/
H A Dpublic_key.rs176 let int_output = &int[pos..]; in asn1_emit_integer() localVariable
/dports/security/rustscan/RustScan-2.0.1/cargo-crates/trust-dns-proto-0.19.5/src/rr/dnssec/
H A Dpublic_key.rs176 let int_output = &int[pos..]; in asn1_emit_integer() localVariable
/dports/net/proby/proby-1.0.2/cargo-crates/trust-dns-proto-0.18.0-alpha.2/src/rr/dnssec/
H A Dpublic_key.rs176 let int_output = &int[pos..]; in asn1_emit_integer() localVariable
/dports/net/oha/oha-0.4.7/cargo-crates/trust-dns-proto-0.20.3/src/rr/dnssec/
H A Dpublic_key.rs176 let int_output = &int[pos..]; in asn1_emit_integer() localVariable
/dports/graphics/tesseract/tesseract-5.0.0/src/lstm/
H A Dlstm.cpp335 NetworkScratch::IO int_output; in Forward() local
/dports/science/mbdyn/mbdyn-1.7.3/mbdyn/base/
H A Dloadable.cc54 int_output(const LoadableElem* /* pEl */ , OutputHandler& /* OH */ ) in int_output() function
/dports/graphics/vips/vips-8.12.0/libvips/mosaicing/
H A Dglobal_balance.c1866 gboolean int_output; member
/dports/databases/postgresql-repmgr/repmgr-5.2.0/
H A Ddbutils.c1147 …pg_setting(PGconn *conn, const char *setting, char *str_output, bool *bool_output, int *int_output) in _get_pg_setting()