Home
last modified time | relevance | path

Searched defs:lastCol (Results 1 – 25 of 199) sorted by relevance

12345678

/dports/graphics/lightzone/LightZone-4.1.8-3-g36e87773/lightcrafts/extsrc/com/lightcrafts/media/jai/opimage/
H A DDilateBinaryOpImage.java251 int lastCol = kwPack - 1; in computeRect() local
271 int lastCol = kwPack - 1; in computeRect() local
319 int lastCol = kwPack - 1; in packKernel() local
H A DErodeBinaryOpImage.java270 int lastCol = kwPack - 1; in computeRect() local
290 int lastCol = kwPack - 1; in computeRect() local
339 int lastCol = kwPack - 1; in packKernel() local
/dports/games/holotz-castle/holotz-castle-1.3.14-src/src/
H A DHCEnemyRandom.h41 s32 lastCol; /**< Last visited col. */ variable
H A DHCScriptAction.h120 s32 lastCol; /**< Last visited column. */ variable
/dports/graphics/netpbm/netpbm-10.91.01/generator/
H A Dpbmmake.c91 unsigned int const lastCol = (cols-1)/8; in writeGrayRaster() local
130 unsigned int const lastCol = (cols-1)/8; in writeSingleColorRaster() local
/dports/print/scribus-devel/scribus-1.5.7/scribus/
H A Dcollapsedtablepainter.cpp148 int lastCol = table()->columns() - 1; in paintTableFill() local
270 const int lastCol = cell.column() + cell.columnSpan() - 1; in paintCellRightBorders() local
338 const int lastCol = cell.column() + cell.columnSpan() - 1; in paintCellTopBorders() local
406 const int lastCol = cell.column() + cell.columnSpan() - 1; in paintCellBottomBorders() local
470 int lastCol = col + cell.columnSpan() - 1; in paintCellFill() local
H A Dcollapsedtablepainterex.cpp123 int lastCol = m_table->columns() - 1; in paintTableFill() local
245 const int lastCol = cell.column() + cell.columnSpan() - 1; in paintCellRightBorders() local
313 const int lastCol = cell.column() + cell.columnSpan() - 1; in paintCellTopBorders() local
381 const int lastCol = cell.column() + cell.columnSpan() - 1; in paintCellBottomBorders() local
445 int lastCol = col + cell.columnSpan() - 1; in paintCellFill() local
/dports/comms/hylafax/hylafax-6.0.7/faxd/
H A DPCFFont.h43 u_short lastCol; // index of last encoded glyph variable
/dports/devel/clipp/clipp-1.2.3/examples/
H A Dtext_formatting.cpp29 int lastCol = 80; in main() local
/dports/editors/libreoffice/libreoffice-7.2.6.2/lotuswordpro/source/filter/xfilter/
H A Dxfrow.cxx104 sal_Int32 lastCol = 0; in ToXml() local
H A Dxftable.cxx177 int lastCol = 0; in ToXml() local
/dports/editors/libreoffice6/libreoffice-6.4.7.2/lotuswordpro/source/filter/xfilter/
H A Dxfrow.cxx105 sal_Int32 lastCol = 0; in ToXml() local
H A Dxftable.cxx177 int lastCol = 0; in ToXml() local
/dports/math/mlpack/mlpack-3.4.2/src/mlpack/core/cv/
H A Dsimple_cv_impl.hpp259 const size_t lastCol) in GetSubset()
278 const size_t lastCol) in GetSubset()
/dports/multimedia/subtitlecomposer/subtitlecomposer-0.7.1/src/gui/treeview/
H A Dlinesselectionmodel.cpp91 const int lastCol = model->columnCount() - 1; in reset() local
/dports/cad/openscad/openscad-41f58fe57c03457a3a8b4dc541ef5654ec3e8c78/src/
H A DAST.h13 Location(int firstLine, int firstCol, int lastLine, int lastCol, in Location()
/dports/cad/openscad-devel/openscad-63a7c77740030c63d646eb0684ba6947eecb0db7/src/
H A DAST.h13 Location(int firstLine, int firstCol, int lastLine, int lastCol, in Location()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/gui/components/graphics/
H A DLedMatrixComponent.java20 private int lastCol; field in LedMatrixComponent
/dports/textproc/libmwaw03/libmwaw-0.3.20/src/lib/
H A DMsWrdStruct.cxx534 auto lastCol = static_cast<int>(input->readLong(1)); in read() local
549 auto lastCol = static_cast<int>(input->readULong(1)); in read() local
561 auto lastCol = static_cast<int>(input->readLong(1)); in read() local
/dports/net/eventviews/eventviews-21.12.3/src/todo/
H A Dtodoviewview.cpp129 int lastCol = model()->columnCount(QModelIndex()) - 1; in moveCursor() local
/dports/math/apache-commons-math/commons-math3-3.6.1-src/src/main/java/org/apache/commons/math3/ml/neuralnet/twod/util/
H A DUnifiedDistanceMatrix.java169 final int lastCol = uMatrix[0].length - 1; in individualDistances() local
/dports/www/gotty/gotty-1.0.1/vendor/github.com/yudai/hcl/hcl/
H A Dlex.go25 lastCol, lastLine int member
/dports/editors/hexcurse/hexcurse-1.60.0/src/
H A Dacceptch.c40 lastRow = 0, lastCol = 0, /* last row/col coords*/ in wacceptch() local
/dports/graphics/krita/krita-4.4.8/plugins/filters/pixelizefilter/
H A Dkis_pixelize_filter.cpp93 const qint32 lastCol = divideFloor(applyRect.x() + applyRect.width() - 1, pixelWidth); in processImpl() local
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/src/app/cn3d/
H A Dsequence_viewer.cpp198 unsigned int firstCol = 0, lastCol = alignment->AlignmentWidth() - 1, nColumns = 70; in DumpFASTA() local
316 unsigned int firstCol = 0, lastCol = alignment->AlignmentWidth() - 1, nColumns = 60; in DumpText() local

12345678