Home
last modified time | relevance | path

Searched defs:led (Results 351 – 375 of 2154) sorted by relevance

1...<<11121314151617181920>>...87

/dports/multimedia/libv4l/linux-5.13-rc2/drivers/gpu/drm/nouveau/
H A Dnouveau_led.c37 nouveau_led_get_brightness(struct led_classdev *led) in nouveau_led_get_brightness()
54 nouveau_led_set_brightness(struct led_classdev *led, enum led_brightness value) in nouveau_led_set_brightness()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/gpu/drm/nouveau/
H A Dnouveau_led.c37 nouveau_led_get_brightness(struct led_classdev *led) in nouveau_led_get_brightness()
54 nouveau_led_set_brightness(struct led_classdev *led, enum led_brightness value) in nouveau_led_set_brightness()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/hid/
H A Dhid-picolcd_leds.c99 struct led_classdev *led; in picolcd_init_leds() local
150 struct led_classdev *led; in picolcd_exit_leds() local
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/gpu/drm/nouveau/
H A Dnouveau_led.c37 nouveau_led_get_brightness(struct led_classdev *led) in nouveau_led_get_brightness()
54 nouveau_led_set_brightness(struct led_classdev *led, enum led_brightness value) in nouveau_led_set_brightness()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/hid/
H A Dhid-picolcd_leds.c99 struct led_classdev *led; in picolcd_init_leds() local
150 struct led_classdev *led; in picolcd_exit_leds() local
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/hid/
H A Dhid-picolcd_leds.c99 struct led_classdev *led; in picolcd_init_leds() local
150 struct led_classdev *led; in picolcd_exit_leds() local
/dports/cad/cascade-compiler/cascade-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde/share/cascade/test/regression/simple/
H A Dassign_6.v3 wire led = temp; net
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth8/
H A Dtest2.vhdl5 port (led: out std_logic_vector (7 downto 0)); port
H A Dtest5.vhdl5 port (led: out std_logic_vector (7 downto 0)); port
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/leds/
H A Dleds-lp5562.c114 static void lp5562_set_led_current(struct lp55xx_led *led, u8 led_current) in lp5562_set_led_current()
315 static int lp5562_led_brightness(struct lp55xx_led *led) in lp5562_led_brightness()
409 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_pattern() local
437 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_engine_mux() local
519 struct lp55xx_led *led; in lp5562_probe() local
578 struct lp55xx_led *led = i2c_get_clientdata(client); in lp5562_remove() local
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/leds/
H A Dleds-lp5562.c114 static void lp5562_set_led_current(struct lp55xx_led *led, u8 led_current) in lp5562_set_led_current()
315 static int lp5562_led_brightness(struct lp55xx_led *led) in lp5562_led_brightness()
409 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_pattern() local
437 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_engine_mux() local
519 struct lp55xx_led *led; in lp5562_probe() local
578 struct lp55xx_led *led = i2c_get_clientdata(client); in lp5562_remove() local
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/leds/
H A Dleds-lp5562.c114 static void lp5562_set_led_current(struct lp55xx_led *led, u8 led_current) in lp5562_set_led_current()
315 static int lp5562_led_brightness(struct lp55xx_led *led) in lp5562_led_brightness()
409 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_pattern() local
437 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_engine_mux() local
519 struct lp55xx_led *led; in lp5562_probe() local
578 struct lp55xx_led *led = i2c_get_clientdata(client); in lp5562_remove() local
/dports/sysutils/flasher/flasher-1.3/
H A Dflasher.c15 int led; member
90 void setup_LED(int led, char *filenames) in setup_LED()
/dports/lang/micropython/micropython-1.17/examples/hwapi/
H A Dsoft_pwm.py13 def pwm_cycle(led, duty, cycles): argument
/dports/lang/micropython/micropython-1.17/tests/pybnative/
H A Dwhile.py5 def f(led, n, d): argument
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/ulx3s_12k_multiboot/
H A Dblinky1.v1 module top(input clk, output [7:0] led); port
H A Dblinky2.v1 module top(input clk, output [7:0] led); port
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/soc_versa5g/
H A Dattosoc_tb.v18 wire [7:0] led; net
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/picorv32_ulx3s/
H A Dattosoc_tb.v18 wire [7:0] led; net
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/picorv32_tinyfpga/
H A Dattosoc_tb.v18 wire led; net
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/picorv32_versa5g/
H A Dattosoc_tb.v18 wire [7:0] led; net
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/soc_ecp5_evn/
H A Dattosoc_tb.v18 wire [7:0] led; net
/dports/devel/tinygo/tinygo-0.14.1/src/examples/button/
H A Dbutton.go9 led = machine.LED const
/dports/devel/tinygo/tinygo-0.14.1/src/examples/pininterrupt/
H A Dpininterrupt.go17 led = machine.LED const
/dports/devel/nextpnr/nextpnr-48cd407/tests/ice40/regressions/issue0106/
H A Dtop.v4 output led); port

1...<<11121314151617181920>>...87