/dports/multimedia/libv4l/linux-5.13-rc2/drivers/gpu/drm/nouveau/ |
H A D | nouveau_led.c | 37 nouveau_led_get_brightness(struct led_classdev *led) in nouveau_led_get_brightness() 54 nouveau_led_set_brightness(struct led_classdev *led, enum led_brightness value) in nouveau_led_set_brightness()
|
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/gpu/drm/nouveau/ |
H A D | nouveau_led.c | 37 nouveau_led_get_brightness(struct led_classdev *led) in nouveau_led_get_brightness() 54 nouveau_led_set_brightness(struct led_classdev *led, enum led_brightness value) in nouveau_led_set_brightness()
|
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/hid/ |
H A D | hid-picolcd_leds.c | 99 struct led_classdev *led; in picolcd_init_leds() local 150 struct led_classdev *led; in picolcd_exit_leds() local
|
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/gpu/drm/nouveau/ |
H A D | nouveau_led.c | 37 nouveau_led_get_brightness(struct led_classdev *led) in nouveau_led_get_brightness() 54 nouveau_led_set_brightness(struct led_classdev *led, enum led_brightness value) in nouveau_led_set_brightness()
|
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/hid/ |
H A D | hid-picolcd_leds.c | 99 struct led_classdev *led; in picolcd_init_leds() local 150 struct led_classdev *led; in picolcd_exit_leds() local
|
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/hid/ |
H A D | hid-picolcd_leds.c | 99 struct led_classdev *led; in picolcd_init_leds() local 150 struct led_classdev *led; in picolcd_exit_leds() local
|
/dports/cad/cascade-compiler/cascade-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde/share/cascade/test/regression/simple/ |
H A D | assign_6.v | 3 wire led = temp; net
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth8/ |
H A D | test2.vhdl | 5 port (led: out std_logic_vector (7 downto 0)); port
|
H A D | test5.vhdl | 5 port (led: out std_logic_vector (7 downto 0)); port
|
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/leds/ |
H A D | leds-lp5562.c | 114 static void lp5562_set_led_current(struct lp55xx_led *led, u8 led_current) in lp5562_set_led_current() 315 static int lp5562_led_brightness(struct lp55xx_led *led) in lp5562_led_brightness() 409 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_pattern() local 437 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_engine_mux() local 519 struct lp55xx_led *led; in lp5562_probe() local 578 struct lp55xx_led *led = i2c_get_clientdata(client); in lp5562_remove() local
|
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/leds/ |
H A D | leds-lp5562.c | 114 static void lp5562_set_led_current(struct lp55xx_led *led, u8 led_current) in lp5562_set_led_current() 315 static int lp5562_led_brightness(struct lp55xx_led *led) in lp5562_led_brightness() 409 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_pattern() local 437 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_engine_mux() local 519 struct lp55xx_led *led; in lp5562_probe() local 578 struct lp55xx_led *led = i2c_get_clientdata(client); in lp5562_remove() local
|
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/leds/ |
H A D | leds-lp5562.c | 114 static void lp5562_set_led_current(struct lp55xx_led *led, u8 led_current) in lp5562_set_led_current() 315 static int lp5562_led_brightness(struct lp55xx_led *led) in lp5562_led_brightness() 409 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_pattern() local 437 struct lp55xx_led *led = i2c_get_clientdata(to_i2c_client(dev)); in lp5562_store_engine_mux() local 519 struct lp55xx_led *led; in lp5562_probe() local 578 struct lp55xx_led *led = i2c_get_clientdata(client); in lp5562_remove() local
|
/dports/sysutils/flasher/flasher-1.3/ |
H A D | flasher.c | 15 int led; member 90 void setup_LED(int led, char *filenames) in setup_LED()
|
/dports/lang/micropython/micropython-1.17/examples/hwapi/ |
H A D | soft_pwm.py | 13 def pwm_cycle(led, duty, cycles): argument
|
/dports/lang/micropython/micropython-1.17/tests/pybnative/ |
H A D | while.py | 5 def f(led, n, d): argument
|
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/ulx3s_12k_multiboot/ |
H A D | blinky1.v | 1 module top(input clk, output [7:0] led); port
|
H A D | blinky2.v | 1 module top(input clk, output [7:0] led); port
|
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/soc_versa5g/ |
H A D | attosoc_tb.v | 18 wire [7:0] led; net
|
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/picorv32_ulx3s/ |
H A D | attosoc_tb.v | 18 wire [7:0] led; net
|
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/picorv32_tinyfpga/ |
H A D | attosoc_tb.v | 18 wire led; net
|
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/picorv32_versa5g/ |
H A D | attosoc_tb.v | 18 wire [7:0] led; net
|
/dports/devel/trellis/prjtrellis-5eb0ad87/examples/soc_ecp5_evn/ |
H A D | attosoc_tb.v | 18 wire [7:0] led; net
|
/dports/devel/tinygo/tinygo-0.14.1/src/examples/button/ |
H A D | button.go | 9 led = machine.LED const
|
/dports/devel/tinygo/tinygo-0.14.1/src/examples/pininterrupt/ |
H A D | pininterrupt.go | 17 led = machine.LED const
|
/dports/devel/nextpnr/nextpnr-48cd407/tests/ice40/regressions/issue0106/ |
H A D | top.v | 4 output led); port
|