Home
last modified time | relevance | path

Searched defs:leds (Results 76 – 100 of 2401) sorted by relevance

12345678910>>...97

/dports/sysutils/u-boot-orangepi-pc/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-wandboard/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-beaglebone/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-bananapim2/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-tools/u-boot-2020.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-a13-olinuxino/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-chip/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rpi4/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-sifive-fu540/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rockpro64/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/emulators/qemu60/qemu-6.0.0/roms/u-boot/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rpi/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-qemu-arm/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rpi-arm64/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-riotboard/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rock-pi-4/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rpi2/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rock64/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rpi3/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/sysutils/u-boot-rpi3-32/u-boot-2021.07/arch/arm/dts/
H A Dsocfpga_cyclone5_socrates.dts27 leds: gpio-leds { label
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm/boot/dts/
H A Dat91-kizboxmini-common.dtsi57 leds: led-controller-1 { label
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm/boot/dts/
H A Dat91-kizboxmini-common.dtsi57 leds: led-controller-1 { label
H A Dsocfpga_cyclone5_sodia.dts36 leds: gpio-leds { label
/dports/cad/yosys/yosys-yosys-0.12/examples/gowin/
H A Dtestbench.v9 wire [15:0] leds; net

12345678910>>...97