Home
last modified time | relevance | path

Searched defs:m_axis_data_tdata (Results 1 – 9 of 9) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Daxi_fir_filter.v60 output [OUT_WIDTH-1:0] m_axis_data_tdata, port
H A Daxi_tag_time.v40 output [WIDTH-1:0] m_axis_data_tdata, port
H A Daxi_async_stream.v41 output [WIDTH-1:0] m_axis_data_tdata, port
H A Daxi_rate_change.v59 …output [WIDTH-1:0] m_axis_data_tdata, output m_axis_data_tlast, output m_axis_data_tvalid, input m… port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/rfnoc/axi_rate_change/
H A Daxi_rate_change_tb.sv29 logic [31:0] m_axis_data_tdata, s_axis_data_tdata; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_duc/
H A Drfnoc_block_duc.v96 wire [NUM_PORTS*ITEM_W-1:0] m_axis_data_tdata; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/core/
H A Dchdr_stream_input.v47 output wire [CHDR_W-1:0] m_axis_data_tdata, port
H A Dchdr_stream_endpoint.v76 output wire [(CHDR_W*NUM_DATA_O)-1:0] m_axis_data_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_ddc/
H A Drfnoc_block_ddc.v96 wire [NUM_PORTS*ITEM_W-1:0] m_axis_data_tdata; net