Home
last modified time | relevance | path

Searched defs:mux1 (Results 1 – 25 of 83) sorted by relevance

1234

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue869/
H A Dalu.vhdl14 signal mux1: std_logic_vector(7 downto 0); signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue872/
H A Dalu.vhdl19 signal mux1, mux2: std_logic_vector(7 downto 0); signal
/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_tri_gate.v10 pass mux1 (.A(A), .SEL(SEL), .Z(X)); instance
H A Dt_bench_mux4k.v121 mux64 #(.MUX_SIZE(`MUX1_SIZE)) mux1[`MUX2_SIZE-1:0] instance
/dports/lang/clover/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/libosmesa/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/libosmesa-gallium/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-libs/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-dri-gallium/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-gallium-vdpau/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-gallium-va/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-dri-classic/mesa-20.2.3/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-gallium-xa/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-devel/mesa-22.0-branchpoint-2059-ge8a63cf61ec/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/graphics/mesa-dri/mesa-21.3.6/src/gallium/drivers/vc4/
H A Dvc4_qpu_emit.c169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict() local
/dports/multimedia/v4l_compat/linux-5.13-rc2/sound/soc/atmel/
H A Dtse850-pcm5142.c226 static const struct snd_kcontrol_new mux1 = variable
/dports/multimedia/libv4l/linux-5.13-rc2/sound/soc/atmel/
H A Dtse850-pcm5142.c226 static const struct snd_kcontrol_new mux1 = variable
/dports/multimedia/v4l-utils/linux-5.13-rc2/sound/soc/atmel/
H A Dtse850-pcm5142.c226 static const struct snd_kcontrol_new mux1 = variable
/dports/sysutils/u-boot-utilite/u-boot-2015.07/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h24 u32 mux1; /* offset 0x100 */ member
/dports/emulators/qemu5/qemu-5.2.0/roms/u-boot/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h23 u32 mux1; /* offset 0x100 */ member
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h23 u32 mux1; /* offset 0x100 */ member
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h23 u32 mux1; /* offset 0x100 */ member
/dports/sysutils/u-boot-olinuxino-lime2-emmc/u-boot-2021.07/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h23 u32 mux1; /* offset 0x100 */ member
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h23 u32 mux1; /* offset 0x100 */ member
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/arch/arm/include/asm/arch-stv0991/
H A Dstv0991_creg.h23 u32 mux1; /* offset 0x100 */ member

1234