Home
last modified time | relevance | path

Searched defs:nets (Results 26 – 50 of 410) sorted by relevance

12345678910>>...17

/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/hdl/verilog2/
H A DVerilogGenerator.java108 ArrayList<HDLNet> nets = hdlCircuit.getNets(); in checkForUniqueNetNames() local
134 private boolean exits(String newName, ArrayList<HDLNet> nets) { in exits()
/dports/cad/qflow/qflow-1.4.98/scripts/
H A Dblifanno.tcl.in75 proc parse_nets {deffile nets} {
/dports/cad/openroad/OpenROAD-2.0/src/OpenDB/src/db/
H A DdbBlock.cpp2089 std::vector<dbNet*>& nets, in adjustCC()
2119 dbSet<dbNet> nets = getNets(); in groundCC() local
2231 dbSet<dbNet> nets = getNets(); in initParasiticsValueTables() local
2384 dbSet<dbNet> nets = getNets(); in createExtCornerBlock() local
2664 void dbBlock::removeSdb(std::vector<dbNet*>& nets) in removeSdb()
2675 bool nets, in initSearchBlock()
2709 dbSet<dbNet> nets = getNets(); in updateNetFlags() local
2735 dbSet<dbNet> nets = getNets(); in getWireUpdatedNets() local
2760 void dbBlock::destroyCCs(std::vector<dbNet*>& nets) in destroyCCs()
3325 void dbBlock::saveDef(char* filename, char* nets) in saveDef()
[all …]
/dports/cad/kicad-devel/kicad-a17a58203b33e08b966075833b177dad5740c236/pcbnew/
H A Dpcbnew_config.cpp87 NETINFO_LIST& nets = GetBoard()->GetNetInfo(); in LoadProjectSettings() local
199 NETINFO_LIST& nets = GetBoard()->GetNetInfo(); in SaveProjectSettings() local
/dports/net-mgmt/observium/observium/includes/discovery/inventory/
H A Dcpqrack-mib.inc.php100 $nets = snmpwalk_cache_oid($device, 'cpqRackNetConnectorTable', array(), 'CPQRACK-MIB'); variable
/dports/graphics/opencv/opencv-4.5.3/modules/gapi/src/api/
H A Dginfer.cpp18 cv::gapi::GNetPackage::GNetPackage(std::vector<GNetParam> nets) in GNetPackage()
/dports/emulators/mess/mame-mame0226/src/lib/netlist/solver/
H A Dnld_ms_direct1.h28 const matrix_solver_t::net_list_t &nets, in matrix_solver_direct1_t()
/dports/cad/horizon-eda/horizon-2.1.0/src/core/tools/
H A Dtool_paste.hpp33 std::set<UUID> nets; member in horizon::ToolPaste
H A Dtool_place_via.hpp33 std::set<UUID> nets; member in horizon::ToolPlaceVia
H A Dtool_update_all_planes.cpp20 std::set<UUID> nets; in begin() local
H A Dtool_drag_keep_slope.hpp37 std::set<UUID> nets; member in horizon::ToolDragKeepSlope
/dports/emulators/mame/mame-mame0226/src/lib/netlist/solver/
H A Dnld_ms_direct1.h28 const matrix_solver_t::net_list_t &nets, in matrix_solver_direct1_t()
/dports/java/openjdk11/jdk11u-jdk-11.0.13-8-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/cad/openroad/OpenROAD-2.0/src/OpenDB/test/python/
H A D07-dump_nets_test.py14 nets = block.getNets() variable
/dports/java/openjdk13/jdk13u-jdk-13.0.10-1-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/java/openjdk11-jre/jdk11u-jdk-11.0.13-8-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/devel/trellis/prjtrellis-5eb0ad87/minitests/timing_distances/span2v/
H A Dmake_dists.py71 nets = ["R{}C10_F5_SLICE".format(row), "R{}C10_F5".format(row)] variable
/dports/devel/trellis/prjtrellis-5eb0ad87/minitests/timing_distances/span2h/
H A Dmake_dists.py71 nets = ["R6C{}_F5_SLICE".format(col), "R6C{}_F5".format(col)] variable
/dports/devel/trellis/prjtrellis-5eb0ad87/minitests/timing_distances/span6h/
H A Dmake_dists.py72 nets = ["R6C{}_F5_SLICE".format(col), "R6C{}_F5".format(col)] variable
/dports/java/openjdk15/jdk15u-jdk-15.0.6-1-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/java/openjdk16/jdk16u-jdk-16.0.2-7-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/java/openjdk14/jdk14u-jdk-14.0.2-12-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/java/openjdk12/openjdk-jdk12u-jdk-12.0.2-10-4/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/java/openjdk17/jdk17u-jdk-17.0.1-12-1/test/jdk/java/net/NetworkInterface/
H A DSubNetworkInterfaceTest.java40 Enumeration<NetworkInterface> nets = NetworkInterface.getNetworkInterfaces(); in main() local
/dports/devel/libvirt/libvirt-7.10.0/tools/
H A Dvirsh-completer-network.c36 virNetworkPtr *nets = NULL; in virshNetworkNameCompleter() local
145 virNetworkPtr *nets = NULL; in virshNetworkUUIDCompleter() local

12345678910>>...17