Home
last modified time | relevance | path

Searched defs:or_result (Results 1 – 25 of 71) sorted by relevance

123

/dports/net/freeradius3/freeradius-server-3.0.25/src/modules/rlm_ippool/
H A Drlm_ippool.c158 uint32_t or_result; in mod_instantiate() local
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue30/
H A Dalu.vhdl156 signal or_result: std_logic_vector(7 downto 0); signal
1173 signal or_result: std_logic_vector(7 downto 0); signal
/dports/multimedia/gstreamer1-plugins-svt-hevc/SVT-HEVC-1.5.1/Source/Lib/ASM_SSE2/
H A DEbApplySaoLcu16bit_Intrinsic_SSE2.c28 …__m128i boIdx_sub_saoBandPosn, cmp_result, cmp_result1, cmp_result2, cmp_result3, or_result, resul… in SAOApplyBO16bit_SSE2_INTRIN() local
/dports/multimedia/svt-hevc/SVT-HEVC-1.5.1/Source/Lib/ASM_SSE2/
H A DEbApplySaoLcu16bit_Intrinsic_SSE2.c28 …__m128i boIdx_sub_saoBandPosn, cmp_result, cmp_result1, cmp_result2, cmp_result3, or_result, resul… in SAOApplyBO16bit_SSE2_INTRIN() local
/dports/emulators/mess/mame-mame0226/3rdparty/bgfx/3rdparty/spirv-tools/source/opt/
H A Damd_ext_to_khr.cpp374 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/emulators/mame/mame-mame0226/3rdparty/bgfx/3rdparty/spirv-tools/source/opt/
H A Damd_ext_to_khr.cpp374 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/SPIRV-Tools/src/source/opt/
H A Damd_ext_to_khr.cpp368 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/devel/intel-graphics-compiler/SPIRV-Tools/source/opt/
H A Damd_ext_to_khr.cpp368 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/swiftshader/third_party/SPIRV-Tools/source/opt/
H A Damd_ext_to_khr.cpp368 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/shells/fish/fish-3.3.1/src/
H A Dbuiltin_test.cpp631 bool or_result = false; in evaluate() local
/dports/graphics/spirv-tools/SPIRV-Tools-2021.4/source/opt/
H A Damd_ext_to_khr.cpp368 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/SPIRV-Tools/src/source/opt/
H A Damd_ext_to_khr.cpp368 Instruction* or_result = ir_builder.AddBinaryOp( in ReplaceSwizzleInvocationsMasked() local
/dports/devel/arm-none-eabi-gcc492/gcc-4.9.2/gcc/config/nios2/
H A Dnios2.c1292 rtx or_result, shift_left_result; in nios2_emit_expensive_div() local
/dports/games/freeciv/freeciv-2.6.6/client/gui-gtk-3.0/
H A Deditprop.c6460 bool match, or_result, and_result; in property_filter_match() local
/dports/games/freeciv/freeciv-2.6.6/client/gui-gtk-3.22/
H A Deditprop.c6458 bool match, or_result, and_result; in property_filter_match() local
/dports/games/freeciv-nox11/freeciv-2.6.6/client/gui-gtk-2.0/
H A Deditprop.c6363 bool match, or_result, and_result; in property_filter_match() local
/dports/games/freeciv-nox11/freeciv-2.6.6/client/gui-gtk-3.0/
H A Deditprop.c6460 bool match, or_result, and_result; in property_filter_match() local
/dports/games/freeciv-nox11/freeciv-2.6.6/client/gui-gtk-3.22/
H A Deditprop.c6458 bool match, or_result, and_result; in property_filter_match() local
/dports/games/freeciv/freeciv-2.6.6/client/gui-gtk-2.0/
H A Deditprop.c6363 bool match, or_result, and_result; in property_filter_match() local
/dports/devel/zpu-gcc/zpu-toolchain-1.0/toolchain/gcc/gcc/config/rs6000/
H A Drs6000.c9870 rtx cmp, or1, or2, or_result, compare_result2; in rs6000_generate_compare() local
9967 rtx or_result = gen_reg_rtx (CCEQmode); in rs6000_generate_compare() local
/dports/devel/zpu-binutils/zpu-toolchain-1.0/toolchain/gcc/gcc/config/rs6000/
H A Drs6000.c9870 rtx cmp, or1, or2, or_result, compare_result2; in rs6000_generate_compare() local
9967 rtx or_result = gen_reg_rtx (CCEQmode); in rs6000_generate_compare() local
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/gcc/config/nios2/
H A Dnios2.c1800 rtx or_result, shift_left_result; in nios2_emit_expensive_div() local
/dports/lang/gcc9/gcc-9.4.0/gcc/config/nios2/
H A Dnios2.c1814 rtx or_result, shift_left_result; in nios2_emit_expensive_div() local
/dports/devel/riscv64-gcc/gcc-8.3.0/gcc/config/nios2/
H A Dnios2.c1800 rtx or_result, shift_left_result; in nios2_emit_expensive_div() local
/dports/lang/gnat_util/gcc-6-20180516/gcc/config/nios2/
H A Dnios2.c1687 rtx or_result, shift_left_result; in nios2_emit_expensive_div() local

123