Home
last modified time | relevance | path

Searched defs:regA (Results 1 – 25 of 154) sorted by relevance

1234567

/dports/lang/intel-compute-runtime/compute-runtime-21.52.22081/shared/test/unit_test/encoders/
H A Dtest_encode_math.cpp22 AluRegisters regA = AluRegisters::R_0; in HWTEST_F() local
63 AluRegisters regA = AluRegisters::R_0; in HWTEST_F() local
105 AluRegisters regA = AluRegisters::R_0; in HWTEST_F() local
/dports/emulators/qemu60/qemu-6.0.0/roms/opensbi/lib/sbi/
H A Dsbi_domain.c127 static bool is_region_subset(const struct sbi_domain_memregion *regA, in is_region_subset()
145 static bool is_region_conflict(const struct sbi_domain_memregion *regA, in is_region_conflict()
156 static bool is_region_before(const struct sbi_domain_memregion *regA, in is_region_before()
/dports/sysutils/opensbi/opensbi-0.9/lib/sbi/
H A Dsbi_domain.c127 static bool is_region_subset(const struct sbi_domain_memregion *regA, in is_region_subset()
145 static bool is_region_conflict(const struct sbi_domain_memregion *regA, in is_region_conflict()
156 static bool is_region_before(const struct sbi_domain_memregion *regA, in is_region_before()
/dports/emulators/qemu/qemu-6.2.0/roms/opensbi/lib/sbi/
H A Dsbi_domain.c127 static bool is_region_subset(const struct sbi_domain_memregion *regA, in is_region_subset()
145 static bool is_region_conflict(const struct sbi_domain_memregion *regA, in is_region_conflict()
156 static bool is_region_before(const struct sbi_domain_memregion *regA, in is_region_before()
/dports/audio/sphinx3/sphinx3-0.8/include/
H A Dadaptor.h126 float32*** regA; /** Regression matrices : the multiplcation term */ member
/dports/editors/hte/ht-e9e63373148da5d7df397d8075740d8c096ecb1d/asm/
H A Dalphadis.h33 byte regA; member
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth109/
H A Dram3.vhdl37 signal regA : std_logic_vector(WIDTH-1 downto 0):= (others => '0'); signal
H A Dasymmetric_ram_2a.vhd73 signal regA : std_logic_vector(WIDTHA-1 downto 0):= (others => '0'); signal
H A Dram9.vhdl64 signal regA : std_logic_vector(WIDTHA-1 downto 0):= (others => '0'); signal
H A Dasymmetric_ram_2b.vhd86 signal regA : std_logic_vector(WIDTHA-1 downto 0):= (others => '0'); signal
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/leds/
H A Dleds-max77650.c38 unsigned int regA; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/leds/
H A Dleds-max77650.c38 unsigned int regA; member
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/leds/
H A Dleds-max77650.c38 unsigned int regA; member
/dports/security/clamav-lts/clamav-0.103.5/libclamav/c++/llvm/include/llvm/Target/
H A DTargetRegisterInfo.h393 bool regsOverlap(unsigned regA, unsigned regB) const { in regsOverlap()
417 bool isSubRegister(unsigned regA, unsigned regB) const { in isSubRegister()
435 bool isSuperRegister(unsigned regA, unsigned regB) const { in isSuperRegister()
/dports/lang/mdk/mdk-1.3.0/mixlib/
H A Dmix_predicate.c28 mix_word_t regA; member
/dports/emulators/qemu5/qemu-5.2.0/roms/u-boot/arch/arm/include/asm/arch-fsl-layerscape/
H A Dfsl_icid.h32 #define SET_ICID_ENTRY(name, idA, regA, addr, compataddr) \ argument
/dports/emulators/qemu-guest-agent/qemu-5.0.1/roms/u-boot/arch/arm/include/asm/arch-fsl-layerscape/
H A Dfsl_icid.h32 #define SET_ICID_ENTRY(name, idA, regA, addr, compataddr) \ argument
/dports/emulators/qemu42/qemu-4.2.1/roms/u-boot/arch/arm/include/asm/arch-fsl-layerscape/
H A Dfsl_icid.h32 #define SET_ICID_ENTRY(name, idA, regA, addr, compataddr) \ argument
/dports/emulators/qemu-utils/qemu-4.2.1/roms/u-boot/arch/arm/include/asm/arch-fsl-layerscape/
H A Dfsl_icid.h32 #define SET_ICID_ENTRY(name, idA, regA, addr, compataddr) \ argument
/dports/emulators/qemu60/qemu-6.0.0/roms/u-boot/arch/arm/include/asm/arch-fsl-layerscape/
H A Dfsl_icid.h32 #define SET_ICID_ENTRY(name, idA, regA, addr, compataddr) \ argument
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/regulator/
H A Dmax77650-regulator.c42 unsigned int regA; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/regulator/
H A Dmax77650-regulator.c42 unsigned int regA; member
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/regulator/
H A Dmax77650-regulator.c42 unsigned int regA; member
/dports/biology/ncbi-toolkit/ncbi/tools/
H A Dbandalg2.c199 Boolean regA, Boolean regB, in gb_linear_align()
/dports/games/libretro-bluemsx/blueMSX-libretro-faf470e/Src/IoDevice/
H A DMsxPPI.c60 Int32 regA; member

1234567