Home
last modified time | relevance | path

Searched defs:regB (Results 1 – 25 of 82) sorted by relevance

1234

/dports/lang/intel-compute-runtime/compute-runtime-21.52.22081/shared/test/unit_test/encoders/
H A Dtest_encode_math.cpp23 AluRegisters regB = AluRegisters::R_1; in HWTEST_F() local
64 AluRegisters regB = AluRegisters::R_1; in HWTEST_F() local
106 AluRegisters regB = AluRegisters::R_1; in HWTEST_F() local
/dports/emulators/qemu60/qemu-6.0.0/roms/opensbi/lib/sbi/
H A Dsbi_domain.c128 const struct sbi_domain_memregion *regB) in is_region_subset()
146 const struct sbi_domain_memregion *regB) in is_region_conflict()
157 const struct sbi_domain_memregion *regB) in is_region_before()
/dports/sysutils/opensbi/opensbi-0.9/lib/sbi/
H A Dsbi_domain.c128 const struct sbi_domain_memregion *regB) in is_region_subset()
146 const struct sbi_domain_memregion *regB) in is_region_conflict()
157 const struct sbi_domain_memregion *regB) in is_region_before()
/dports/emulators/qemu/qemu-6.2.0/roms/opensbi/lib/sbi/
H A Dsbi_domain.c128 const struct sbi_domain_memregion *regB) in is_region_subset()
146 const struct sbi_domain_memregion *regB) in is_region_conflict()
157 const struct sbi_domain_memregion *regB) in is_region_before()
/dports/audio/sphinx3/sphinx3-0.8/include/
H A Dadaptor.h127 float32** regB; /** Regression matrices : the bias term */ member
/dports/editors/hte/ht-e9e63373148da5d7df397d8075740d8c096ecb1d/asm/
H A Dalphadis.h34 byte regB; member
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth109/
H A Dram3.vhdl38 signal regB : std_logic_vector(WIDTH-1 downto 0):= (others => '0'); signal
H A Dasymmetric_ram_2a.vhd74 signal regB : std_logic_vector(WIDTHB-1 downto 0):= (others => '0'); signal
H A Dram9.vhdl65 signal regB : std_logic_vector(WIDTHB-1 downto 0):= (others => '0'); signal
H A Dasymmetric_ram_2b.vhd87 signal regB : std_logic_vector(WIDTHB-1 downto 0):= (others => '0'); signal
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/leds/
H A Dleds-max77650.c39 unsigned int regB; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/leds/
H A Dleds-max77650.c39 unsigned int regB; member
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/leds/
H A Dleds-max77650.c39 unsigned int regB; member
/dports/games/libretro-beetle_ngp/beetle-ngp-libretro-74cc0d8/mednafen/ngp/TLCS-900h/
H A DTLCS900h_registers.h71 #define regB(x) (*(gprMapB[statusRFP][(x)])) macro
/dports/emulators/mednafen/mednafen/src/ngp/TLCS-900h/
H A DTLCS900h_registers.h68 #define regB(x) (*(gprMapB[statusRFP][(x)])) macro
/dports/security/clamav-lts/clamav-0.103.5/libclamav/c++/llvm/include/llvm/Target/
H A DTargetRegisterInfo.h393 bool regsOverlap(unsigned regA, unsigned regB) const { in regsOverlap()
417 bool isSubRegister(unsigned regA, unsigned regB) const { in isSubRegister()
435 bool isSuperRegister(unsigned regA, unsigned regB) const { in isSuperRegister()
/dports/security/clamav-lts/clamav-0.103.5/libclamav/c++/llvm/lib/CodeGen/
H A DTwoAddressInstructionPass.cpp513 TwoAddressInstructionPass::isProfitableToCommute(unsigned regB, unsigned regC, in isProfitableToCommute()
834 unsigned regB = mi->getOperand(SrcIdx).getReg(); in TryInstructionTransform() local
1070 unsigned regB = mi->getOperand(SrcIdx).getReg(); in runOnMachineFunction() local
1103 unsigned regB = OI->first; in runOnMachineFunction() local
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/regulator/
H A Dmax77650-regulator.c43 unsigned int regB; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/regulator/
H A Dmax77650-regulator.c43 unsigned int regB; member
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/regulator/
H A Dmax77650-regulator.c43 unsigned int regB; member
/dports/biology/ncbi-toolkit/ncbi/tools/
H A Dbandalg2.c199 Boolean regA, Boolean regB, in gb_linear_align()
H A Dbandalg4.c180 Uint1 regA, Uint1 regB, in g_band4_align()
/dports/cad/tkgate/tkgate-2.1/test/tkgate/
H A Dtiny.v36 …REGISTER regB (.WRITE(w13), .OUT(w11), .CLK(w8), .BUS(w16)); //: @(737, 408) /sz:(140, 131) /p:[… instance
/dports/devel/llvm11/llvm-11.0.1.src/lib/CodeGen/
H A DTwoAddressInstructionPass.cpp445 isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC, in isProfitableToCommute()
1126 Register regB = MI.getOperand(SrcIdx).getReg(); in tryInstructionTransform() local
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/llvm/lib/CodeGen/
H A DTwoAddressInstructionPass.cpp583 isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC, in isProfitableToCommute()
1275 Register regB = MI.getOperand(SrcIdx).getReg(); in tryInstructionTransform() local

1234