Home
last modified time | relevance | path

Searched defs:sub_result (Results 51 – 75 of 106) sorted by relevance

12345

/dports/www/fusionpbx/fusionpbx-4.4.1/core/default_settings/
H A Ddefault_settings.php405 $sub_result = $sub_prep_statement->fetchAll(PDO::FETCH_NAMED); variable
H A Ddefault_setting_edit.php305 $sub_result = $sub_prep_statement->fetchAll(PDO::FETCH_NAMED); variable
/dports/devel/cook/cook-2.30/cook/match/
H A Dcook.c296 int sub_result; in attempt_inner() local
/dports/sysutils/py-pywatchman/watchman-4.9.0/cmds/
H A Dsubscribe.cpp369 auto sub_result = sub->buildSubscriptionResults(root, out_position); in cmd_flush_subscriptions() local
/dports/sysutils/fselect/fselect-0.7.7/cargo-crates/rustyline-9.0.0/src/
H A Dbinding.rs225 let sub_result = subtrie.get(&evt); in encode() localVariable
/dports/devel/aws-c-s3/aws-c-s3-0.1.27/source/
H A Ds3_client.c973 int sub_result = aws_sub_u32_checked( in s_s3_client_process_work_default() local
983 int sub_result = aws_sub_u32_checked( in s_s3_client_process_work_default() local
/dports/math/polymake/polymake-4.5/apps/group/src/
H A Dpermlib.cc248 const auto sub_result(orbits_in_orbit_order_impl(coordinate_action, mat)); in orbits_in_orbit_order() local
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/blink/renderer/core/layout/ng/mathml/
H A Dng_math_scripts_layout_algorithm.cc468 auto sub_result = in ComputeMinMaxSizes() local
/dports/science/dakota/dakota-6.13.0-release-public.src-UI/src/
H A DExperimentDataUtils.cpp628 RealVector sub_result( Teuchos::View, result.values()+shift, num_dof ); in apply_experiment_covariance_inverse_sqrt() local
646 RealMatrix sub_result( Teuchos::View, result, num_grads, num_dof, 0, shift ); in apply_experiment_covariance_inverse_sqrt_to_gradients() local
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/lldb/source/Expression/
H A DREPL.cpp454 CompletionResult sub_result; in IOHandlerComplete() local
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-descrypt/descrypt/descrypt_core/
H A Ddescrypt_core.v309 wire [1+CMP0_NBITS-1 :0] sub_result net
/dports/devel/tinygo/tinygo-0.14.1/llvm-project/lldb/source/Expression/
H A DREPL.cpp451 CompletionResult sub_result; in IOHandlerComplete() local
/dports/graphics/dssim/dssim-3.1.2/cargo-crates/libaom-sys-0.9.1/vendor/aom_dsp/x86/
H A Dvariance_avx2.c538 __m256i sub_result; in aom_mse_4xh_16bit_avx2() local
593 __m256i sub_result; in aom_mse_8xh_16bit_avx2() local
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/libaom/source/libaom/aom_dsp/x86/
H A Dvariance_avx2.c533 __m256i sub_result; in aom_mse_4xh_16bit_avx2() local
588 __m256i sub_result; in aom_mse_8xh_16bit_avx2() local
/dports/multimedia/aom/aom-3.2.0/aom_dsp/x86/
H A Dvariance_avx2.c538 __m256i sub_result; in aom_mse_4xh_16bit_avx2() local
593 __m256i sub_result; in aom_mse_8xh_16bit_avx2() local
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/devel/llvm12/llvm-project-12.0.1.src/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/lang/rust/rustc-1.58.1-src/src/llvm-project/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/devel/llvm13/llvm-project-13.0.1.src/lldb/source/Expression/
H A DREPL.cpp494 CompletionResult sub_result; in IOHandlerComplete() local
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/config/rs6000/
H A Drs6000-string.c1637 generate_6432_conversion(rtx target, rtx sub_result) in generate_6432_conversion()
1700 rtx sub_result, rtx cond, rtx final_result, in expand_block_compare_gpr()
2071 rtx sub_result = gen_reg_rtx (word_mode); in expand_block_compare() local
/dports/lang/gcc9/gcc-9.4.0/gcc/config/rs6000/
H A Drs6000-string.c1636 generate_6432_conversion(rtx target, rtx sub_result) in generate_6432_conversion()
1699 rtx sub_result, rtx cond, rtx final_result, in expand_block_compare_gpr()
2070 rtx sub_result = gen_reg_rtx (word_mode); in expand_block_compare() local

12345