Home
last modified time | relevance | path

Searched defs:sync_lock_test_and_set (Results 1 – 19 of 19) sorted by relevance

/dports/lang/gcc6-aux/gcc-6-20180516/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc8/gcc-8.5.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc11/gcc-11.2.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc9-aux/gcc-9.1.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc9-devel/gcc-9-20211007/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/riscv32-unknown-elf-gcc/gcc-8.4.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc11-devel/gcc-11-20211009/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/avr-gcc/gcc-10.2.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gnat_util/gcc-6-20180516/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/riscv64-gcc/gcc-8.3.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc9/gcc-9.4.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/misc/cxx_atomics_pic/gcc-11.2.0/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc10-devel/gcc-10-20211008/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/testsuite/gcc.target/aarch64/
H A Dsync-op-acquire.x4 sync_lock_test_and_set (int a) in sync_lock_test_and_set() function
/dports/devel/tigcc/tigcc-0.96.b8_10/gnu/gcc-4.1-20060728/gcc/
H A Dexpr.c231 enum insn_code sync_lock_test_and_set[NUM_MACHINE_MODES]; variable