Home
last modified time | relevance | path

Searched defs:we_i (Results 1 – 25 of 34) sorted by relevance

12

/dports/cad/yosys/yosys-yosys-0.12/tests/memfile/
H A Dmemory.v5 input we_i, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/generic/
H A Dgeneric_sync_fifo.vhd56 we_i : in std_logic; port
89 we_i : in std_logic; port in generic_sync_fifo.syn.inferred_sync_fifo
H A Dgeneric_async_fifo.vhd62 we_i : in std_logic; port
108 we_i : in std_logic; port in generic_async_fifo.syn.inferred_async_fifo
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Dwb_ram_dist.v24 input we_i, port
H A Dwb_ram_block.v27 input we_i, port
H A Dv5icap_wb.v22 input cyc_i, input stb_i, input we_i, output ack_o, port
H A Datr_controller.v26 input we_i, input stb_i, input cyc_i, output reg ack_o, port
H A Ds3a_icap_wb.v22 input cyc_i, input stb_i, input we_i, output ack_o, port
H A Datr_controller16.v26 input we_i, input stb_i, input cyc_i, output reg ack_o, port
H A Dnsgpio.v40 input cyc_i, input stb_i, input [4:0] adr_i, input we_i, input [31:0] dat_i, port
H A Dnsgpio16LE.v40 input cyc_i, input stb_i, input [3:0] adr_i, input we_i, input [15:0] dat_i, port
H A Dpic.v97 input we_i, port
H A Dsimple_uart.v24 input we_i, input stb_i, input cyc_i, output reg ack_o, port
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1190/hdl/
H A Dram.vhdl11 we_i : in std_logic; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/
H A Dlm32_dp_ram.vhd17 we_i : in std_logic; port
/dports/devel/urjtag/urjtag-2021.03/extra/fjmem/
H A Dgeneric_ram_ena.vhd58 we_i : in std_logic; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/
H A Dgenram_pkg.vhd67 we_i : in std_logic; port in genram_pkg.generic_spram
162 we_i : in std_logic; port in genram_pkg.generic_async_fifo
195 we_i : in std_logic; port in genram_pkg.generic_sync_fifo
213 we_i : in std_logic; port in genram_pkg.generic_shiftreg_fifo
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/timing/
H A Dtimer.v23 input we_i, input [31:0] dat_i, output [31:0] dat_o, output ack_o, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/simple_gpio/rtl/
H A Dsimple_gpio.v112 input we_i; // write enable port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Ds7_icap_wb.v31 input we_i, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/wishbone/simple_uart/
H A Dsimple_uart_tb.v17 reg we_i; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/wishbone/
H A Dsimple_uart_tb.v17 reg we_i; register
H A Dsimple_uart.v13 input we_i, input stb_i, input cyc_i, output reg ack_o, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/common/
H A Dgeneric_shiftreg_fifo.vhd62 we_i : in std_logic; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/simple_pic/rtl/
H A Dsimple_pic.v109 input we_i; // write enable port

12