Home
last modified time | relevance | path

Searched defs:y1s (Results 1 – 25 of 55) sorted by relevance

123

/dports/net/tcpillust/tcpillust/
H A Ddraw.c94 char x1s[20], x2s[20], y1s[20], y2s[20]; in config_frame() local
121 char x1s[20], x2s[20], y1s[20], y2s[20]; in config_subframe() local
148 char x1s[20], x2s[20], y1s[20], y2s[20], is[20]; in config_lines() local
370 char x1s[20], x2s[20], y1s[20], y2s[20], is[20]; in config_sublines() local
423 char x1s[20], x2s[20], y1s[20], y2s[20]; in config_rectangle() local
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/builder/circuit/
H A DCircuitBuilderTest.java79 Expression y1s = or(and(not(y0), y1), and(y0, not(y1))); in testBuilderSequential() local
103 Expression y1s = or(and(not(y0), y1), and(y0, not(y1))); in testBuilderSequentialLUT() local
137 Expression y1s = or(and(not(y0), y1), and(y0, not(y1))); in testBuilderSequentialJK_JequalsK() local
161 Expression y1s = or(not(y0), not(y1)); in testBuilderSequentialJK() local
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/builder/ATF150x/
H A DATF1502CuplExporterTest.java29 Expression y1s = or(and(not(y0), y1), and(y0, not(y1))); in testCUPLExporter() local
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/builder/Gal22v10/
H A DGal22V10CuplExporterTest.java28 Expression y1s = or(and(not(y0), y1), and(y0, not(y1))); in testCUPLExporter() local
/dports/math/arb/arb-2.21.1/arb/test/
H A Dt-sin_cos_taylor_rs.c28 mp_ptr x, y1s, y1c, y2s, y2c, t; in main() local
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/builder/Gal16v8/
H A DGal16V8CuplExporterTest.java49 Expression y1s = or(and(not(y0), y1), and(y0, not(y1))); in testCUPLExporter() local
/dports/math/stanmath/math-4.2.0/stan/math/prim/err/
H A Dcheck_matching_dims.hpp35 std::ostringstream y1s; in check_matching_dims() local
/dports/emulators/mess/mame-mame0226/src/mame/machine/
H A Dkaneko_hit.h34 uint16_t x1p, y1p, x1s, y1s; member
44 int x1p, y1p, z1p, x1s, y1s, z1s; member
/dports/emulators/mame/mame-mame0226/src/mame/machine/
H A Dkaneko_hit.h34 uint16_t x1p, y1p, x1s, y1s; member
44 int x1p, y1p, z1p, x1s, y1s, z1s; member
/dports/science/dakota/dakota-6.13.0-release-public.src-UI/packages/external/trilinos/packages/rol/test/vector/
H A Dtest_07.cpp170 ROL::Ptr<V> y1s = ROL::makePtr<SV>( y1_ptr ); in main() local
/dports/games/libretro-mame2003/mame2003-libretro-4358db4/src/drivers/
H A Dgalpanic.c147 UINT16 x1p, y1p, x1s, y1s; member
/dports/games/libretro-mame2003_plus/mame2003-plus-libretro-17e9889/src/drivers/
H A Dgalpanic.c147 UINT16 x1p, y1p, x1s, y1s; member
H A Dkaneko16.c1593 UINT16 x1p, y1p, x1s, y1s; member
2191 int x1p, y1p, z1p, x1s, y1s, z1s; member
/dports/misc/vxl/vxl-3.3.2/contrib/brl/bbas/bxml/bsvg/
H A Dbsvg_element.cxx144 std::stringstream x1s; x1s << x1; std::stringstream y1s; y1s << y1; in bsvg_line() local
/dports/graphics/gimp-app/gimp-2.10.30/app/paint/
H A Dgimpperspectiveclone.c377 gdouble x1s, y1s, x2s, y2s, x3s, y3s, x4s, y4s; in gimp_perspective_clone_get_source() local
/dports/science/bagel/bagel-1.2.2/src/util/math/zquatev/
H A Dblocked.cc92 auto y1s = y1.trunc<2>(); in panel_update() local
/dports/emulators/mess/mame-mame0226/src/mame/includes/
H A Dsuprnova.h74 uint16_t x1p, y1p, z1p, x1s, y1s, z1s; member
/dports/emulators/mame/mame-mame0226/src/mame/includes/
H A Dsuprnova.h74 uint16_t x1p, y1p, z1p, x1s, y1s, z1s; member
/dports/emulators/mess/mame-mame0226/src/devices/video/
H A Dvic4567.cpp1847 for (int y1s = 0, offset = 0; y1s < 400; y1s += 16) in draw_bitplanes() local
1864 for (int y1s = 0, offset = 0; y1s < 200; y1s += 8) in draw_bitplanes() local
/dports/emulators/mame/mame-mame0226/src/devices/video/
H A Dvic4567.cpp1847 for (int y1s = 0, offset = 0; y1s < 400; y1s += 16) in draw_bitplanes() local
1864 for (int y1s = 0, offset = 0; y1s < 200; y1s += 8) in draw_bitplanes() local
/dports/games/libretro-fbneo/FBNeo-bbe3c05/src/burn/drv/pst90s/
H A Dd_sandscrp.cpp43 UINT16 x1p, y1p, x1s, y1s; member
/dports/games/xmemory/xmemory-3.7/
H A Dport.C651 int x1s,y1s,x2s,y2s; in redraw() local
/dports/graphics/embree/embree-3.13.2/kernels/subdiv/
H A Dfeature_adaptive_eval_grid.h268 const unsigned y1s = stitch(y1,fine_y,coarse_y); in stitch_col() local
/dports/games/libretro-fbalpha/fbalpha-84eb9d9/src/burn/drv/pst90s/
H A Dd_sandscrp.cpp45 UINT16 x1p, y1p, x1s, y1s; member
/dports/science/opensim-core/opensim-core-4.1/OpenSim/Common/
H A DSmoothSegmentedFunctionFactory.cpp118 double y1s = y1 + 0.5*(1-y1); in createFiberActiveForceLengthCurve() local

123