Home
last modified time | relevance | path

Searched refs:PMUX_PIN_IO_RESET_DEFAULT (Results 751 – 754 of 754) sorted by relevance

1...<<31

/dports/sysutils/u-boot-rock64/u-boot-2021.07/board/nvidia/venice2/
H A Dpinmux-config-venice2.h93 .ioreset = PMUX_PIN_IO_RESET_DEFAULT, \
/dports/sysutils/u-boot-rock64/u-boot-2021.07/board/nvidia/nyan-big/
H A Dpinmux-config-nyan-big.h82 .ioreset = PMUX_PIN_IO_RESET_DEFAULT, \
/dports/sysutils/u-boot-rock64/u-boot-2021.07/board/cei/cei-tk1-som/
H A Dpinmux-config-cei-tk1-som.h78 .ioreset = PMUX_PIN_IO_RESET_DEFAULT, \
/dports/sysutils/u-boot-rock64/u-boot-2021.07/board/toradex/apalis-tk1/
H A Dpinmux-config-apalis-tk1.h66 .ioreset = PMUX_PIN_IO_RESET_DEFAULT, \

1...<<31