Home
last modified time | relevance | path

Searched refs:col_idx (Results 126 – 150 of 582) sorted by relevance

12345678910>>...24

/dports/www/firefox/firefox-99.0/third_party/intgemm/intgemm/callbacks/
H A Dimplementations.inl104 kernels::write(input, config.output_addr, info.row_idx * info.cols + info.col_idx);
147 kernels::write(result, config.output_addr, info.row_idx * info.cols + info.col_idx);
173 kernels::write(result, config.output_addr, info.row_idx * info.cols + info.col_idx);
190 auto result = kernels::add_bias(input, config.bias_addr, info.col_idx);
191 kernels::write(result, config.output_addr, info.row_idx * info.cols + info.col_idx);
216 result = kernels::add_bias(result, config.bias_addr, info.col_idx);
217 kernels::write(result, config.output_addr, info.row_idx * info.cols + info.col_idx);
242 result = kernels::add_bias(result, config.bias_addr, info.col_idx);
244 kernels::write(result, config.output_addr, info.row_idx * info.cols + info.col_idx);
/dports/databases/p5-DBIx-Class/DBIx-Class-0.082842/lib/DBIx/Class/Storage/DBI/ADO/
H A DMicrosoft_SQL_Server.pm200 my $col_idx = 0;
204 if (substr($data_row->[$col_idx], 0, 1) ne '{') {
205 $data_row->[$col_idx] = '{' . $data_row->[$col_idx] . '}';
209 $col_idx++;
/dports/databases/p5-DBIx-Class/DBIx-Class-0.082842/lib/DBIx/Class/Storage/
H A DDBI.pm2270 for my $col_idx (0..$#$cols) {
2271 my $colname = $cols->[$col_idx];
2277 elsif (ref $data->[0][$col_idx] eq 'REF' and ref ${$data->[0][$col_idx]} eq 'ARRAY' ) {
2304 $data->[0][$col_idx]
2343 for my $col_idx (0..$#$cols) {
2347 my $val = $data->[$row_idx][$col_idx];
2354 $col_idx,
2361 $col_idx,
2375 $col_idx,
2388 $col_idx,
[all …]
/dports/biology/plink/plink-ng-79b2df8c/2.0/
H A Dplink2_psam.cc146 for (uint32_t col_idx = 1; ; ++col_idx) { in LoadPsam() local
965 for (uint32_t col_idx = 0; col_idx != new_pheno_ct; ++col_idx) { in LoadPhenos() local
967 col_types[col_idx] = col_idx; in LoadPhenos()
979 for (uint32_t col_idx = 0; col_idx != pheno_col_ct; ++col_idx) { in LoadPhenos() local
980 col_types[col_idx] = col_idx; in LoadPhenos()
981 col_skips[col_idx] = 1; in LoadPhenos()
1042 for (uint32_t col_idx = 0; col_idx != new_pheno_ct; ++col_idx) { in LoadPhenos() local
1044 col_types[col_idx] = col_idx; in LoadPhenos()
1056 for (uint32_t col_idx = 0; col_idx != new_pheno_ct; ++col_idx) { in LoadPhenos() local
1057 col_types[col_idx] = col_idx; in LoadPhenos()
[all …]
/dports/misc/visp/visp-3.4.0/modules/sensor/test/rgb-depth/
H A DtestRealSense2_D435_align.cpp242 const int col_idx = i*(width/nb_lines); in main() local
243 … vpDisplay::displayLine(I_display, 0, col_idx, I_display.getRows()-1, col_idx, vpColor::green, 2); in main()
244 … vpDisplay::displayLine(I_display2, 0, col_idx, I_display.getRows()-1, col_idx, vpColor::green, 2); in main()
245 … vpDisplay::displayLine(I_display3, 0, col_idx, I_display.getRows()-1, col_idx, vpColor::green, 2); in main()
/dports/science/py-scikit-learn/scikit-learn-1.0.2/examples/bicluster/
H A Dplot_spectral_biclustering.py41 col_idx = rng.permutation(data.shape[1]) variable
42 data = data[row_idx][:, col_idx]
49 score = consensus_score(model.biclusters_, (rows[:, row_idx], columns[:, col_idx]))
/dports/games/freecell-solver/freecell-solver-6.2.0/t/lib/FC_Solve/DeltaStater/
H A DDeBondt.pm100 foreach my $col_idx ( 0 .. $self->_init_state->num_columns - 1 )
102 my $col = $self->_init_state->get_column($col_idx);
334 foreach my $col_idx (@cols_indexes)
336 my $col = $derived->get_column($col_idx);
376 foreach my $col_idx (@cols_indexes)
378 my $col = $derived->get_column($col_idx);
710 foreach my $col_idx ( 0 .. $self->_init_state->num_columns - 1 )
714 my $orig_col = $init_state->get_column($col_idx);
/dports/russian/bugzilla44/bugzilla-4.4.4.ru/template/ru-RU/default/reports/
H A Dreport-table.html.tmpl159 [% col_idx = 0 %]
167 <th class="[% classes.$row_idx.$col_idx %]">
173 [% col_idx = 1 - col_idx %]
174 <th class="[% classes.$row_idx.$col_idx %]">
190 <td class="[% classes.$row_idx.$col_idx %]" align="right">
198 [% col_idx = 1 - col_idx %]
199 <td class="[% classes.$row_idx.$col_idx %]" align="center">
/dports/german/bugzilla44/de-bugzilla44-4.4.10_1/de/default/reports/
H A Dreport-table.html.tmpl157 [% col_idx = 0 %]
165 <th class="[% classes.$row_idx.$col_idx %]">
171 [% col_idx = 1 - col_idx %]
172 <th class="[% classes.$row_idx.$col_idx %]">
188 <td class="[% classes.$row_idx.$col_idx %]" align="right">
196 [% col_idx = 1 - col_idx %]
197 <td class="[% classes.$row_idx.$col_idx %]" align="center">
/dports/devel/bugzilla44/bugzilla-4.4.13/template/en/default/reports/
H A Dreport-table.html.tmpl157 [% col_idx = 0 %]
165 <th class="[% classes.$row_idx.$col_idx %]">
171 [% col_idx = 1 - col_idx %]
172 <th class="[% classes.$row_idx.$col_idx %]">
188 <td class="[% classes.$row_idx.$col_idx %]" align="right">
196 [% col_idx = 1 - col_idx %]
197 <td class="[% classes.$row_idx.$col_idx %]" align="center">
/dports/japanese/bugzilla44/ja-bugzilla44-4.4.9/default/reports/
H A Dreport-table.html.tmpl158 [% col_idx = 0 %]
167 <th class="[% classes.$row_idx.$col_idx %]">
173 [% col_idx = 1 - col_idx %]
174 <th class="[% classes.$row_idx.$col_idx %]">
190 <td class="[% classes.$row_idx.$col_idx %]" align="right">
198 [% col_idx = 1 - col_idx %]
199 <td class="[% classes.$row_idx.$col_idx %]" align="center">
/dports/science/libsvm/libsvm-3.23/python/
H A Dcommonutil.py27 col_idx = []
40 col_idx += [int(ind)-1]
53 col_idx = scipy.array(col_idx)
55 prob_x = sparse.csr_matrix((prob_x, col_idx, row_ptr))
/dports/science/libsvm-python/libsvm-3.23/python/
H A Dcommonutil.py27 col_idx = []
40 col_idx += [int(ind)-1]
53 col_idx = scipy.array(col_idx)
55 prob_x = sparse.csr_matrix((prob_x, col_idx, row_ptr))
/dports/biology/sra-tools/sra-tools-2.11.0/tools/vdb-copy/
H A Dhelper.h85 const uint32_t col_idx,
96 const uint32_t col_idx, uint64_t * dst );
107 const uint32_t col_idx,
/dports/biology/ncbi-blast+/ncbi-blast-2.12.0+-src/c++/include/util/bitset/
H A Dbmbuffer.h611 value_type get(size_type row_idx, size_type col_idx) const BMNOEXCEPT in get() argument
614 BM_ASSERT(col_idx < COLS); in get()
617 return ((const value_type*)buf)[col_idx]; in get()
769 value_type get(size_type row_idx, size_type col_idx) BMNOEXCEPT in get() argument
772 BM_ASSERT(col_idx < cols_); in get()
774 return r[col_idx]; in get()
780 BM_ASSERT(col_idx < cols_); in get()
782 return r[col_idx]; in get()
785 void set(size_type row_idx, size_type col_idx, value_type v) BMNOEXCEPT in set() argument
788 BM_ASSERT(col_idx < cols_); in set()
[all …]
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/include/util/bitset/
H A Dbmbuffer.h611 value_type get(size_type row_idx, size_type col_idx) const BMNOEXCEPT in get() argument
614 BM_ASSERT(col_idx < COLS); in get()
617 return ((const value_type*)buf)[col_idx]; in get()
769 value_type get(size_type row_idx, size_type col_idx) BMNOEXCEPT in get() argument
772 BM_ASSERT(col_idx < cols_); in get()
774 return r[col_idx]; in get()
780 BM_ASSERT(col_idx < cols_); in get()
782 return r[col_idx]; in get()
785 void set(size_type row_idx, size_type col_idx, value_type v) BMNOEXCEPT in set() argument
788 BM_ASSERT(col_idx < cols_); in set()
[all …]
/dports/devel/bugzilla50/bugzilla-5.0.4/template/en/default/reports/
H A Dreport-table.html.tmpl157 [% col_idx = 0 %]
165 <th class="[% classes.$row_idx.$col_idx %]">
170 [% col_idx = 1 - col_idx %]
171 <th class="[% classes.$row_idx.$col_idx %]">
185 <td class="[% classes.$row_idx.$col_idx %] right">
191 [% col_idx = 1 - col_idx %]
192 <td class="[% classes.$row_idx.$col_idx %]">
/dports/japanese/bugzilla50/ja-bugzilla50-5.0.2/default/reports/
H A Dreport-table.html.tmpl158 [% col_idx = 0 %]
166 <th class="[% classes.$row_idx.$col_idx %]">
171 [% col_idx = 1 - col_idx %]
172 <th class="[% classes.$row_idx.$col_idx %]">
186 <td class="[% classes.$row_idx.$col_idx %] right">
192 [% col_idx = 1 - col_idx %]
193 <td class="[% classes.$row_idx.$col_idx %]">
/dports/science/lammps/lammps-stable_29Sep2021/doc/utils/converters/lammpsdoc/
H A Dtxt2rst.py264 for col_idx, column in enumerate(columns):
265 max_widths[col_idx] = max(max_widths[col_idx], len(column.strip())+2)
292 for col_idx in range(max_columns):
293 if col_idx < len(columns):
294 col = columns[col_idx].strip()
298 tbl += col.ljust(column_widths[col_idx]-2, ' ')
301 if col_idx < max_columns - 1:
/dports/biology/sra-tools/sra-tools-2.11.0/ncbi-vdb/libs/wgsxf/
H A Dbuild_scaffold.c66 uint32_t col_idx; member
149 uint32_t col_idx; in init_self() local
150 rc = VCursorAddColumn( curs, &col_idx, "%s", column ); in init_self()
157 self->col_idx = col_idx; in init_self()
209 rc = VCursorCellDataDirect(self->curs, row, self->col_idx, in build_scaffold_read_impl()
301 rc = VCursorCellDataDirect(self->curs, row, self->col_idx, in build_scaffold_qual_impl()
/dports/biology/ncbi-vdb/ncbi-vdb-2.11.0/libs/wgsxf/
H A Dbuild_scaffold.c66 uint32_t col_idx; member
149 uint32_t col_idx; in init_self() local
150 rc = VCursorAddColumn( curs, &col_idx, "%s", column ); in init_self()
157 self->col_idx = col_idx; in init_self()
209 rc = VCursorCellDataDirect(self->curs, row, self->col_idx, in build_scaffold_read_impl()
301 rc = VCursorCellDataDirect(self->curs, row, self->col_idx, in build_scaffold_qual_impl()
/dports/biology/sra-tools/sra-tools-2.11.0/ncbi-vdb/libs/tui/
H A Dscreen.c134 int col_idx = x + column; in paint_into_screen() local
135 tui_ac * ac = &line->ac[ col_idx ]; in paint_into_screen()
139 line->chars[ col_idx ] != c ) in paint_into_screen()
144 line->chars[ col_idx ] = c; in paint_into_screen()
145 line->dirty[ col_idx ] = true; in paint_into_screen()
/dports/biology/ncbi-vdb/ncbi-vdb-2.11.0/libs/tui/
H A Dscreen.c134 int col_idx = x + column; in paint_into_screen() local
135 tui_ac * ac = &line->ac[ col_idx ]; in paint_into_screen()
139 line->chars[ col_idx ] != c ) in paint_into_screen()
144 line->chars[ col_idx ] = c; in paint_into_screen()
145 line->dirty[ col_idx ] = true; in paint_into_screen()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/perfetto/src/trace_processor/db/
H A Dtable.cc105 columns_[it->col_idx].StableSort(it->desc, &idx); in Sort()
123 table.columns_[od.front().col_idx].flags_ |= Column::Flag::kSorted; in Sort()
145 const Column& left_col = columns_[left.col_idx]; in LookupJoin()
146 const Column& right_col = other.columns_[right.col_idx]; in LookupJoin()
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/perfetto/src/trace_processor/db/
H A Dtable.cc105 columns_[it->col_idx].StableSort(it->desc, &idx); in Sort()
123 table.columns_[od.front().col_idx].flags_ |= Column::Flag::kSorted; in Sort()
145 const Column& left_col = columns_[left.col_idx]; in LookupJoin()
146 const Column& right_col = other.columns_[right.col_idx]; in LookupJoin()

12345678910>>...24