Home
last modified time | relevance | path

Searched refs:equivalency (Results 76 – 100 of 503) sorted by relevance

12345678910>>...21

/dports/devel/p5-SPOPS/SPOPS-0.87/t/
H A D01_tie.t25 is( $data->{sleepy}, 'sloopy', 'Basic equivalency' );
51 is( $data->{slimey}, 'goo', 'Basic equivalency (multivalue)' );
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/gowin/
H A Dfsm.ys6 equiv_opt -run :prove -map +/gowin/cells_sim.v synth_gowin # equivalency check
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/ice40/
H A Drom.ys4 equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
H A Dlogic.ys3 equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
H A Dmul.ys3 equiv_opt -assert -multiclock -map +/ice40/cells_sim.v synth_ice40 -dsp # equivalency check
H A Dshifter.ys5 equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
H A Dadd_sub.ys3 equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
H A Dtribuf.ys7 equiv_opt -assert -map +/ice40/cells_sim.v -map +/simcells.v synth_ice40 # equivalency check
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/ecp5/
H A Dlogic.ys4 equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
H A Dadd_sub.ys4 equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
H A Dshifter.ys5 equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
H A Dtribuf.ys5 equiv_opt -assert -map +/ecp5/cells_sim.v -map +/simcells.v synth_ecp5 # equivalency check
H A Dmul.ys5 #equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
H A Drom.ys5 equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/efinix/
H A Dlogic.ys4 equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
H A Dadd_sub.ys4 equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
H A Dshifter.ys5 equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/anlogic/
H A Dtribuf.ys5 equiv_opt -assert -map +/anlogic/cells_sim.v -map +/simcells.v synth_anlogic # equivalency check
H A Dshifter.ys5 equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
H A Dadd_sub.ys4 equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/machxo2/
H A Dadd_sub.ys4 equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
H A Dlogic.ys4 equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
H A Dshifter.ys5 equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
H A Dtribuf.ys5 equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
/dports/cad/yosys/yosys-yosys-0.12/tests/arch/gatemate/
H A Dadd_sub.ys4 equiv_opt -assert -map +/gatemate/cells_sim.v synth_gatemate -noiopad # equivalency check

12345678910>>...21