Home
last modified time | relevance | path

Searched refs:gpio_in (Results 26 – 50 of 3770) sorted by relevance

12345678910>>...151

/dports/sysutils/u-boot-olinuxino-lime2-emmc/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/emulators/dolphin-emu/dolphin-3152428/Source/Core/Core/HW/
H A DWII_IPC.cpp205 Common::Flags<GPIO> gpio_in; in RegisterMMIO() local
206 gpio_in[GPIO::SLOT_IN] = DVDInterface::IsDiscInside(); in RegisterMMIO()
207 return gpio_in.m_hex; in RegisterMMIO()
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
/dports/sysutils/u-boot-sopine/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
/dports/sysutils/u-boot-a13-olinuxino/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/sysutils/u-boot-sopine-spi/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/sysutils/u-boot-bananapi/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
/dports/sysutils/u-boot-qemu-riscv64/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/sysutils/u-boot-rpi-0-w/u-boot-2021.07/arch/arm/dts/
H A Dsun5i-a13-olinuxino-micro.dts117 function = "gpio_in";
129 function = "gpio_in";
135 function = "gpio_in";
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";
/dports/emulators/qemu42/qemu-4.2.1/roms/u-boot/arch/arm/dts/
H A Dsun5i-q8-common.dtsi105 allwinner,function = "gpio_in";
112 allwinner,function = "gpio_in";
119 allwinner,function = "gpio_in";

12345678910>>...151