Home
last modified time | relevance | path

Searched refs:union1 (Results 201 – 225 of 1120) sorted by relevance

12345678910>>...45

/dports/net/storj/storj-1.45.3/vendor/github.com/apache/thrift/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/devel/py-thrift/thrift-0.14.0/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/www/fabio/fabio-1.5.14/vendor/github.com/apache/thrift/thrift-da1169d75b15/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/devel/thrift-c_glib/thrift-0.14.0/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/devel/thrift-cpp/thrift-0.14.0/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/devel/thrift/thrift-0.14.0/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/misc/concourse/concourse-6.7.2/vendor/github.com/apache/thrift/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/devel/node-thrift/thrift-0.14.0/lib/java/test/org/apache/thrift/
H A DTestTUnion.java122 TestUnion union1 = new TestUnion(TestUnion._Fields.STRUCT_LIST, new ArrayList<RandomStuff>()); in testCompareTo() local
124 assertTrue(union1.compareTo(union2) == 0); in testCompareTo()
/dports/databases/percona56-server/percona-server-5.6.51-91.0/mysql-test/r/
H A Dbug74579.result8 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using temporary; Using filesort
/dports/databases/percona56-client/percona-server-5.6.51-91.0/mysql-test/r/
H A Dbug74579.result8 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using temporary; Using filesort
/dports/databases/percona-pam-for-mysql/percona-server-5.6.51-91.0/mysql-test/r/
H A Dbug74579.result8 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using temporary; Using filesort
/dports/devel/fbthrift/fbthrift-2021.12.27.00/thrift/test/reflection/
H A Dfatal_struct_test.cpp51 static_assert(IsThriftClass<union1>::value);
60 static_assert(IsThriftUnion<union1>::value);
116 EXPECT_EQ(union1::Type::ui, pod.field4_ref()->getType()); in TEST()
155 union1, in TEST()
224 union1::Type::ui, in TEST()
/dports/databases/percona57-pam-for-mysql/percona-server-5.7.36-39/mysql-test/r/
H A Dbug74579.result7 NULL UNION RESULT <union1,2> NULL ALL NULL NULL NULL NULL NULL NULL Using temporary; Using filesort
/dports/databases/percona57-server/percona-server-5.7.36-39/mysql-test/r/
H A Dbug74579.result7 NULL UNION RESULT <union1,2> NULL ALL NULL NULL NULL NULL NULL NULL Using temporary; Using filesort
/dports/databases/percona57-client/percona-server-5.7.36-39/mysql-test/r/
H A Dbug74579.result7 NULL UNION RESULT <union1,2> NULL ALL NULL NULL NULL NULL NULL NULL Using temporary; Using filesort
/dports/devel/fbthrift/fbthrift-2021.12.27.00/thrift/compiler/test/fixtures/visitation/gen-cpp2/
H A Dmodule_types.h1005 class union1;
1045 class union1 final {
1057 using __fbthrift_cpp2_type = union1;
1071 union1()
1074 union1(union1&& rhs) noexcept
1108 union1(const union1& rhs)
1141 union1& operator=(union1&& rhs) noexcept {
1176 union1& operator=(const union1& rhs) {
1210 ~union1() {
1224 bool operator<(const union1&) const;
[all …]
/dports/devel/fbthrift/fbthrift-2021.12.27.00/thrift/compiler/test/fixtures/fatal/gen-cpp2/
H A Dmodule_types.h1005 class union1;
1045 class union1 final {
1057 using __fbthrift_cpp2_type = union1;
1071 union1()
1074 union1(union1&& rhs) noexcept
1108 union1(const union1& rhs)
1141 union1& operator=(union1&& rhs) noexcept {
1176 union1& operator=(const union1& rhs) {
1210 ~union1() {
1224 bool operator<(const union1&) const;
[all …]
/dports/databases/mariadb105-client/mariadb-10.5.15/mysql-test/suite/innodb_fts/r/
H A Dfulltext_misc.result149 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
164 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
180 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
/dports/databases/mariadb104-server/mariadb-10.4.24/mysql-test/suite/innodb_fts/r/
H A Dfulltext_misc.result149 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
164 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
180 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
/dports/databases/mariadb104-client/mariadb-10.4.24/mysql-test/suite/innodb_fts/r/
H A Dfulltext_misc.result149 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
164 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
180 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
/dports/databases/mariadb103-client/mariadb-10.3.34/mysql-test/suite/innodb_fts/r/
H A Dfulltext_misc.result149 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
164 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
180 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
/dports/databases/mariadb103-server/mariadb-10.3.34/mysql-test/suite/innodb_fts/r/
H A Dfulltext_misc.result149 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
164 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
180 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
/dports/security/vault/vault-1.8.2/vendor/github.com/apache/arrow/r/tests/testthat/
H A Dtest-dataset.R308 union1 <- open_dataset(list(ds1, ds2)) globalVar
309 expect_is(union1, "UnionDataset")
311 union1 %>%
/dports/databases/mariadb105-server/mariadb-10.5.15/mysql-test/suite/innodb_fts/r/
H A Dfulltext_misc.result149 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
164 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
180 NULL UNION RESULT <union1,2> ALL NULL NULL NULL NULL NULL NULL Using filesort
/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/gcc.target/aarch64/sve/acle/general-c/
H A Dsizeless-2.c26 union union1 { union

12345678910>>...45