Home
last modified time | relevance | path

Searched refs:w (Results 226 – 250 of 75836) sorted by relevance

12345678910>>...3034

/dports/textproc/p5-XML-Writer/XML-Writer-0.900/t/
H A D01_main.t46 my $w;
142 $w->end();
151 $w->end();
164 $w->end();
178 $w->end();
207 $w->end();
219 $w->end();
231 $w->end();
243 $w->end();
255 $w->end();
[all …]
/dports/www/minio-client/mc-RELEASE.2021-12-10T00-14-28Z/vendor/github.com/secure-io/sio-go/
H A Dwriter.go61 n = copy(w.buffer[w.offset:w.bufSize], p)
74 ciphertext := w.cipher.Seal(w.buffer[:0], nonce, w.buffer[:w.bufSize], w.associatedData)
119 w.buffer[w.offset] = b
129 ciphertext := w.cipher.Seal(w.buffer[:0], nonce, w.buffer[:w.bufSize], w.associatedData)
155 ciphertext := w.cipher.Seal(w.buffer[:0], w.nonce, w.buffer[:w.offset], w.associatedData)
156 if _, w.err = writeTo(w.w, ciphertext); w.err != nil {
207 ciphertext := w.cipher.Seal(w.buffer[:0], nonce, w.buffer[:w.bufSize], w.associatedData)
233 ciphertext = w.cipher.Seal(w.buffer[:0], nonce, w.buffer[:w.bufSize], w.associatedData)
373 if w.offset < w.bufSize+w.cipher.Overhead() {
419 plaintext, err := w.cipher.Open(w.buffer[:0], w.nonce, w.buffer[:w.offset], w.associatedData)
[all …]
/dports/databases/mongodb36/mongodb-src-r3.6.23/src/third_party/IntelRDFPMathLib20U1/LIBRARY/src/
H A Dbid128_to_int64.c158 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
182 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
451 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
475 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
811 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
835 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1092 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1116 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1381 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1405 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
H A Dbid128_to_uint32.c58 C1.w[0] = x.w[0];
168 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
205 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
485 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
522 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
889 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1205 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1529 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1565 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1889 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
[all …]
/dports/emulators/mednafen/mednafen/src/drivers/
H A Dhq2x.cpp70 #define PIXEL00_20 Interp2(pOut, w[5], w[4], w[2]);
71 #define PIXEL00_21 Interp2(pOut, w[5], w[1], w[2]);
72 #define PIXEL00_22 Interp2(pOut, w[5], w[1], w[4]);
73 #define PIXEL00_60 Interp6(pOut, w[5], w[2], w[4]);
74 #define PIXEL00_61 Interp6(pOut, w[5], w[4], w[2]);
168 w[1] = w[2]; in hq2x_32()
169 w[4] = w[5]; in hq2x_32()
170 w[7] = w[8]; in hq2x_32()
181 w[3] = w[2]; in hq2x_32()
182 w[6] = w[5]; in hq2x_32()
[all …]
/dports/lang/gnatdroid-binutils-x86/binutils-2.27/ld/testsuite/ld-arm/
H A Dcortex-a8-fix-blx-bcond.d74 9004: f3af 8000 nop\.w
75 9008: f3af 8000 nop\.w
76 900c: f3af 8000 nop\.w
77 9010: f3af 8000 nop\.w
78 9014: f3af 8000 nop\.w
79 9018: f3af 8000 nop\.w
80 901c: f3af 8000 nop\.w
81 9020: f3af 8000 nop\.w
82 9024: f3af 8000 nop\.w
83 9028: f3af 8000 nop\.w
[all …]
/dports/lang/gnatdroid-binutils/binutils-2.27/ld/testsuite/ld-arm/
H A Dcortex-a8-fix-blx-bcond.d74 9004: f3af 8000 nop\.w
75 9008: f3af 8000 nop\.w
76 900c: f3af 8000 nop\.w
77 9010: f3af 8000 nop\.w
78 9014: f3af 8000 nop\.w
79 9018: f3af 8000 nop\.w
80 901c: f3af 8000 nop\.w
81 9020: f3af 8000 nop\.w
82 9024: f3af 8000 nop\.w
83 9028: f3af 8000 nop\.w
[all …]
/dports/devel/arm-elf-binutils/binutils-2.37/ld/testsuite/ld-arm/
H A Dcortex-a8-fix-blx-bcond.d74 9004: f3af 8000 nop\.w
75 9008: f3af 8000 nop\.w
76 900c: f3af 8000 nop\.w
77 9010: f3af 8000 nop\.w
78 9014: f3af 8000 nop\.w
79 9018: f3af 8000 nop\.w
80 901c: f3af 8000 nop\.w
81 9020: f3af 8000 nop\.w
82 9024: f3af 8000 nop\.w
83 9028: f3af 8000 nop\.w
[all …]
/dports/devel/gnulibiberty/binutils-2.37/ld/testsuite/ld-arm/
H A Dcortex-a8-fix-blx-bcond.d74 9004: f3af 8000 nop\.w
75 9008: f3af 8000 nop\.w
76 900c: f3af 8000 nop\.w
77 9010: f3af 8000 nop\.w
78 9014: f3af 8000 nop\.w
79 9018: f3af 8000 nop\.w
80 901c: f3af 8000 nop\.w
81 9020: f3af 8000 nop\.w
82 9024: f3af 8000 nop\.w
83 9028: f3af 8000 nop\.w
[all …]
/dports/devel/binutils/binutils-2.37/ld/testsuite/ld-arm/
H A Dcortex-a8-fix-blx-bcond.d74 9004: f3af 8000 nop\.w
75 9008: f3af 8000 nop\.w
76 900c: f3af 8000 nop\.w
77 9010: f3af 8000 nop\.w
78 9014: f3af 8000 nop\.w
79 9018: f3af 8000 nop\.w
80 901c: f3af 8000 nop\.w
81 9020: f3af 8000 nop\.w
82 9024: f3af 8000 nop\.w
83 9028: f3af 8000 nop\.w
[all …]
/dports/graphics/gegl/gegl-0.4.34/tests/simple/reference/
H A Dexp-combine.hdr6w~��w��w~��w���w���w���w���w���w���w���w���w���w���w���w���w���w���w���w���w���w���wz��wCk�xQz�xa…
7wƕswͬ�v��sw��Uw��nv�̡w�|nx��wx��}x��|xɞ~x˞~x��|x��{x�|nx�{dv�{WwŹ�w�Ȗw��wwْZw�F(w�4v�U vءew�ЍwѦdw…
/dports/www/grafana8/grafana-8.3.6/vendor/github.com/ohler55/ojg/pretty/
H A Dwriter.go59 w.w = wr
84 sw := w.w
86 w.w = sw
111 if w.Color && w.w != nil {
124 if w.w != nil && 0 < len(w.buf) {
125 _, err = w.w.Write(w.buf)
148 w.buf = append(w.buf, w.NoColor...)
189 w.buf = append(w.buf, w.NoColor...)
203 w.buf = append(w.buf, w.NoColor...)
267 if w.w != nil && w.WriteLimit < len(w.buf) {
[all …]
/dports/math/py-PyWavelets/pywt-1.2.0/pywt/_extensions/c/
H A Dwavelets.c62 return w; in discrete_wavelet()
70 SWAP(size_t, w->dec_len, w->rec_len); in discrete_wavelet()
71 SWAP(float*, w->rec_lo_float, w->dec_lo_float); in discrete_wavelet()
72 SWAP(float*, w->rec_hi_float, w->dec_hi_float); in discrete_wavelet()
73 SWAP(double*, w->rec_lo_double, w->dec_lo_double); in discrete_wavelet()
74 SWAP(double*, w->rec_hi_double, w->dec_hi_double); in discrete_wavelet()
352 return w; in discrete_wavelet()
502 return w; in continuous_wavelet()
518 w->dec_len = w->rec_len = filters_length; in blank_discrete_wavelet()
561 return w; in blank_discrete_wavelet()
[all …]
/dports/emulators/dgen-sdl/dgen-sdl-1.33/hqx/src/
H A Dhq2x_32.c29 #define PIXEL00_20 *dp = Interp2_32(w[5], w[4], w[2]);
30 #define PIXEL00_21 *dp = Interp2_32(w[5], w[1], w[2]);
31 #define PIXEL00_22 *dp = Interp2_32(w[5], w[1], w[4]);
32 #define PIXEL00_60 *dp = Interp6_32(w[5], w[2], w[4]);
33 #define PIXEL00_61 *dp = Interp6_32(w[5], w[4], w[2]);
34 #define PIXEL00_70 *dp = Interp7_32(w[5], w[4], w[2]);
35 #define PIXEL00_90 *dp = Interp9_32(w[5], w[4], w[2]);
36 #define PIXEL00_100 *dp = Interp10_32(w[5], w[4], w[2]);
41 #define PIXEL01_20 *(dp+1) = Interp2_32(w[5], w[2], w[6]);
42 #define PIXEL01_21 *(dp+1) = Interp2_32(w[5], w[3], w[6]);
[all …]
H A Dhq2x_16.c29 #define PIXEL00_20 *dp = Interp2_16(w[5], w[4], w[2]);
30 #define PIXEL00_21 *dp = Interp2_16(w[5], w[1], w[2]);
31 #define PIXEL00_22 *dp = Interp2_16(w[5], w[1], w[4]);
32 #define PIXEL00_60 *dp = Interp6_16(w[5], w[2], w[4]);
33 #define PIXEL00_61 *dp = Interp6_16(w[5], w[4], w[2]);
34 #define PIXEL00_70 *dp = Interp7_16(w[5], w[4], w[2]);
35 #define PIXEL00_90 *dp = Interp9_16(w[5], w[4], w[2]);
36 #define PIXEL00_100 *dp = Interp10_16(w[5], w[4], w[2]);
41 #define PIXEL01_20 *(dp+1) = Interp2_16(w[5], w[2], w[6]);
42 #define PIXEL01_21 *(dp+1) = Interp2_16(w[5], w[3], w[6]);
[all …]
H A Dhq2x_24.c29 #define PIXEL00_20 Interp2_24(dp, w[5], w[4], w[2]);
30 #define PIXEL00_21 Interp2_24(dp, w[5], w[1], w[2]);
31 #define PIXEL00_22 Interp2_24(dp, w[5], w[1], w[4]);
32 #define PIXEL00_60 Interp6_24(dp, w[5], w[2], w[4]);
33 #define PIXEL00_61 Interp6_24(dp, w[5], w[4], w[2]);
34 #define PIXEL00_70 Interp7_24(dp, w[5], w[4], w[2]);
35 #define PIXEL00_90 Interp9_24(dp, w[5], w[4], w[2]);
36 #define PIXEL00_100 Interp10_24(dp, w[5], w[4], w[2]);
41 #define PIXEL01_20 Interp2_24((dp+1), w[5], w[2], w[6]);
42 #define PIXEL01_21 Interp2_24((dp+1), w[5], w[3], w[6]);
[all …]
/dports/comms/fldigi/fldigi-4.1.20/src/misc/
H A Dmisc.cxx36 w = (w & 0x55555555) + ((w >> 1) & 0x55555555); in hweight32()
46 w = (w & 0x5555) + ((w >> 1) & 0x5555); in hweight16()
47 w = (w & 0x3333) + ((w >> 2) & 0x3333); in hweight16()
48 w = (w & 0x0F0F) + ((w >> 4) & 0x0F0F); in hweight16()
49 w = (w & 0x00FF) + ((w >> 8) & 0x00FF); in hweight16()
55 w = (w & 0x55) + ((w >> 1) & 0x55); in hweight8()
56 w = (w & 0x33) + ((w >> 2) & 0x33); in hweight8()
57 w = (w & 0x0F) + ((w >> 4) & 0x0F); in hweight8()
98 w = ((w >> 1) & 0x55) | ((w << 1) & 0xFF); in rbits8()
99 w = ((w >> 2) & 0x33) | ((w << 2) & 0xCC); in rbits8()
[all …]
/dports/devel/antlr/antlr-2.7.7/examples/csharp/csharp_v1/
H A DCSharpPrettyPrinter.g432 | #( q:QUESTION expr[w] { Print(w, #q, null, " ? "); } expr[w] { w.Write(" : "); } expr[w] )
477 : #( c:CAST_EXPR { Print(w, #c); } type[w] { w.Write(") "); } expr[w] )
842 : #( c:CONST attributes[w] modifiers[w] { Print(w, #c, null, " "); } type[w]
849 : #( FIELD_DECL attributes[w] modifiers[w] type[w]
871 : #( METHOD_DECL attributes[w] modifiers[w] type[w] qualifiedIdentifier[w]
933 : #( PROPERTY_DECL attributes[w] modifiers[w] type[w] qualifiedIdentifier[w]
983 type[w] ( interfaceType[w] { w.Write("."); } )? t:THIS { Print(w, #t); w.Write("["); }
1214 : #( METHOD_DECL attributes[w] modifiers[w] type[w] qualifiedIdentifier[w]
1230 : #( PROPERTY_DECL attributes[w] modifiers[w] type[w] identifier[w]
1242 : #( INDEXER_DECL attributes[w] modifiers[w] type[w] t:THIS { Print(w, #t); w.Write("["); }
[all …]
/dports/lang/gcc6-aux/gcc-6-20180516/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
/dports/lang/gcc8/gcc-8.5.0/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
/dports/lang/gcc48/gcc-4.8.5/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
/dports/lang/gcc10/gcc-10.3.0/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]
/dports/lang/gcc12-devel/gcc-12-20211205/libgcc/config/libbid/
H A Dbid128_to_int32.c50 C1.w[0] = x.w[0];
167 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
204 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
488 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
525 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
875 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
912 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1250 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
1287 || (C1.w[1] == C.w[1] && C1.w[0] >= C.w[0])) {
1674 if (C1.w[1] > C.w[1] || (C1.w[1] == C.w[1] && C1.w[0] > C.w[0])) {
[all …]

12345678910>>...3034