Home
last modified time | relevance | path

Searched refs:yj (Results 26 – 50 of 3303) sorted by relevance

12345678910>>...133

/dports/audio/sdl2_mixer/SDL2_mixer-2.0.4/external/opus-1.0.3/celt/
H A Dcwrs.c388 yj=_k;
392 yj-=_k;
393 _y[0]=(yj+s)^s;
407 yj=_k;
413 yj-=_k;
414 _y[0]=(yj+s)^s;
430 yj=_k;
447 yj-=_k;
448 _y[0]=(yj+s)^s;
470 yj=_k;
[all …]
/dports/science/py-GPy/GPy-1.10.0/GPy/models/
H A Done_vs_all_sparse_classification.py32 for yj in labels:
33 print('Class %s vs all' %yj)
35 Ynew[Y.flatten()!=yj] = 0
36 Ynew[Y.flatten()==yj] = 1
40 self.results[yj] = m.predict(X)[0]
H A Done_vs_all_classification.py35 for yj in labels:
37 Ynew[Y.flatten()!=yj] = 0
38 Ynew[Y.flatten()==yj] = 1
43 self.results[yj] = m.predict(X)
/dports/science/simbody/simbody-Simbody-3.7/SimTKmath/Integrators/src/CPodes/sundials/src/cpodes/
H A Dcpodes_direct.c535 yj = y_data[j]; in cpDlsDenseDQJacImpl()
543 inc = (yj + inc) - yj; in cpDlsDenseDQJacImpl()
559 y_data[j] = yj; in cpDlsDenseDQJacImpl()
714 yj = y_data[j]; in cpDlsBandDQJacImpl()
724 inc = (yj + inc) - yj; in cpDlsBandDQJacImpl()
740 yj = ytemp_data[j] = y_data[j]; in cpDlsBandDQJacImpl()
748 inc = (yj + inc) - yj; in cpDlsBandDQJacImpl()
789 realtype inc, inc_inv, yj, srur; in cpDlsDenseProjDQJac() local
820 yj = y_data[j]; in cpDlsDenseProjDQJac()
825 inc = (yj + inc) - yj; in cpDlsDenseProjDQJac()
[all …]
/dports/cad/calculix/CalculiX/cgx_2.18/src/
H A Dspline.c59 double dyy,xj,yj,xx,yq,yy,yhx,yhj,yh[PNTS]; in createSpline() local
96 yj=c[1][j+1]-c[1][j]; in createSpline()
99 c[3][j]=(3.*yj-2.*yhx-yhj)/(xj*xj); in createSpline()
100 c[4][j]=-(2.*yj-yhx-yhj)/(xj*xj*xj); in createSpline()
106 yq=yj/xj; in createSpline()
113 c[3][j]=(yj-yhx)/(xj*xj); in createSpline()
116 c[3][j]=-(yj-yhj)/(xj*xj); in createSpline()
/dports/audio/mumble/mumble-1.3.3/3rdparty/celt-0.7.0-src/libcelt/
H A Dcwrs.c470 yj=_k; in cwrsi2()
474 yj-=_k; in cwrsi2()
475 _y[0]=yj+s^s; in cwrsi2()
489 yj=_k; in cwrsi3()
495 yj-=_k; in cwrsi3()
512 yj=_k; in cwrsi4()
529 yj-=_k; in cwrsi4()
544 yj=_k; in cwrsi5()
561 yj-=_k; in cwrsi5()
583 yj=_k; in cwrsi()
[all …]
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/src/NWints/hondo/
H A Dhnd_oechrg.F42 yj = jxyz(2)
44 rri=((xi-xj)**2+(yi-yj)**2+(zi-zj)**2)
51 dyij=yi-yj
55 yc=yj
58 dyij=yj-yi
65 tijy = yi-yj
67 qijx = yi*zj-zi*yj
69 qijz = xi*yj-yi*xj
101 acharg( 4,nij)=(ayi+aj*yj)*aa1
H A Dhnd_giaos10.F35 common/hnd_xyzder/xint,yint,zint,t,x0,y0,z0,xi,yi,zi,xj,yj,zj,
62 yj=xyzj(2)
67 qijx=yi*zj-zi*yj
69 qijz=xi*yj-yi*xj
71 tijy=yi-yj
74 rr=(xi-xj)**2+(yi-yj)**2+(zi-zj)**2
97 ay=(ayi+aj*yj)*aa1
/dports/science/nwchem-data/nwchem-7.0.2-release/src/NWints/hondo/
H A Dhnd_oechrg.F42 yj = jxyz(2)
44 rri=((xi-xj)**2+(yi-yj)**2+(zi-zj)**2)
51 dyij=yi-yj
55 yc=yj
58 dyij=yj-yi
65 tijy = yi-yj
67 qijx = yi*zj-zi*yj
69 qijz = xi*yj-yi*xj
101 acharg( 4,nij)=(ayi+aj*yj)*aa1
H A Dhnd_giaos10.F35 common/hnd_xyzder/xint,yint,zint,t,x0,y0,z0,xi,yi,zi,xj,yj,zj,
62 yj=xyzj(2)
67 qijx=yi*zj-zi*yj
69 qijz=xi*yj-yi*xj
71 tijy=yi-yj
74 rr=(xi-xj)**2+(yi-yj)**2+(zi-zj)**2
97 ay=(ayi+aj*yj)*aa1
/dports/misc/elki/elki-release0.7.1-1166-gfb1fffdf3/elki-core-math/src/main/java/de/lmu/ifi/dbs/elki/math/statistics/dependence/
H A DHoeffdingsDDependenceMeasure.java107 yj = adapter2.getDouble(data2, j); in computeBivariateRanks() local
109 ret[j] += (yi < yj) ? 1 : (yi == yj) ? .5 : 0; in computeBivariateRanks()
112 ret[i] += (yj < yi) ? 1 : (yj == yi) ? .5 : 0; in computeBivariateRanks()
115 if(yi < yj) { in computeBivariateRanks()
118 else if(yj < yi) { in computeBivariateRanks()
/dports/audio/praat/praat-6.2.03/external/gsl/
H A Dgsl_histogram__stat2d.c118 double yj = (h->yrange[j + 1] + h->yrange[j]) / 2.0; in gsl_histogram2d_ymean() local
131 wmean += (yj - wmean) * (wj / W); in gsl_histogram2d_ymean()
205 double yj = (h->yrange[j + 1] + h->yrange[j]) / 2.0 - ymean; in gsl_histogram2d_ysigma() local
217 wvariance += ((yj * yj) - wvariance) * (wj / W); in gsl_histogram2d_ysigma()
253 double yj = (h->yrange[j + 1] + h->yrange[j]) / 2.0 - ymean; in gsl_histogram2d_cov() local
259 wcovariance += ((xi * yj) - wcovariance) * (wij / W); in gsl_histogram2d_cov()
/dports/net-mgmt/bosun/bosun-0.9.0-preview/vendor/github.com/vdobler/chart/
H A Dstrip.go83 yj := noverlp
84 if tenpc < yj {
85 yj = tenpc
87 if yj < absmin {
88 yj = absmin
98 shift := yj * rand.NormFloat64() * yj
/dports/math/gsl/gsl-2.7/histogram/
H A Dstat2d.c117 double yj = (h->yrange[j + 1] + h->yrange[j]) / 2.0; in gsl_histogram2d_ymean() local
130 wmean += (yj - wmean) * (wj / W); in gsl_histogram2d_ymean()
204 double yj = (h->yrange[j + 1] + h->yrange[j]) / 2.0 - ymean; in gsl_histogram2d_ysigma() local
216 wvariance += ((yj * yj) - wvariance) * (wj / W); in gsl_histogram2d_ysigma()
252 double yj = (h->yrange[j + 1] + h->yrange[j]) / 2.0 - ymean; in gsl_histogram2d_cov() local
258 wcovariance += ((xi * yj) - wcovariance) * (wij / W); in gsl_histogram2d_cov()
/dports/science/cp2k/cp2k-2e995eec7fd208c8a72d9544807bd8b8ba8cd1cc/src/pw/
H A Drs_methods.F387 REAL(dp) :: normfact, xi, xmax, xmin, yj, & local
417 xi = x_glbl(i); yj = y_glbl(j); zk = z_glbl(k)
418 … IF (vec_norm2((/(xi - xmin), (yj - ymin), (zk - zmin)/)) .LT. zeta - small_value) THEN
419 … G%cr3d(i, j, k) = EXP(1.0_dp/(vec_norm2((/(xi - xmin), (yj - ymin), (zk - zmin)/)/zeta)**2 - 1))
420 … ELSE IF (vec_norm2((/(xi - xmax), (yj - ymax), (zk - zmax)/)) .LT. zeta - small_value) THEN
422 … ELSE IF (vec_norm2((/(xi - xmin), (yj - ymax), (zk - zmax)/)) .LT. zeta - small_value) THEN
424 … ELSE IF (vec_norm2((/(xi - xmax), (yj - ymin), (zk - zmax)/)) .LT. zeta - small_value) THEN
426 … ELSE IF (vec_norm2((/(xi - xmax), (yj - ymax), (zk - zmin)/)) .LT. zeta - small_value) THEN
428 … ELSE IF (vec_norm2((/(xi - xmin), (yj - ymin), (zk - zmax)/)) .LT. zeta - small_value) THEN
430 … ELSE IF (vec_norm2((/(xi - xmin), (yj - ymax), (zk - zmin)/)) .LT. zeta - small_value) THEN
[all …]
/dports/science/cp2k-data/cp2k-7.1.0/src/pw/
H A Drs_methods.F387 REAL(dp) :: normfact, xi, xmax, xmin, yj, & local
417 xi = x_glbl(i); yj = y_glbl(j); zk = z_glbl(k)
418 … IF (vec_norm2((/(xi - xmin), (yj - ymin), (zk - zmin)/)) .LT. zeta - small_value) THEN
419 … G%cr3d(i, j, k) = EXP(1.0_dp/(vec_norm2((/(xi - xmin), (yj - ymin), (zk - zmin)/)/zeta)**2 - 1))
420 … ELSE IF (vec_norm2((/(xi - xmax), (yj - ymax), (zk - zmax)/)) .LT. zeta - small_value) THEN
422 … ELSE IF (vec_norm2((/(xi - xmin), (yj - ymax), (zk - zmax)/)) .LT. zeta - small_value) THEN
424 … ELSE IF (vec_norm2((/(xi - xmax), (yj - ymin), (zk - zmax)/)) .LT. zeta - small_value) THEN
426 … ELSE IF (vec_norm2((/(xi - xmax), (yj - ymax), (zk - zmin)/)) .LT. zeta - small_value) THEN
428 … ELSE IF (vec_norm2((/(xi - xmin), (yj - ymin), (zk - zmax)/)) .LT. zeta - small_value) THEN
430 … ELSE IF (vec_norm2((/(xi - xmin), (yj - ymax), (zk - zmin)/)) .LT. zeta - small_value) THEN
[all …]
/dports/math/cgal/CGAL-5.3/include/CGAL/Apollonius_graph_2/
H A DOrientation8_C2.h99 FT yj = s2.y() - s1.y(); in predicate() local
117 FT Eyp = determinant(yj, pj, yk, pk); in predicate()
120 FT Exy = determinant(xj, yj, xk, yk); in predicate()
122 FT Eyr = determinant(yj, rj, yk, rk); in predicate()
179 yj = s2.y() - s1.y(); in Constructive_orientation8_C2()
185 nj = CGAL::square(xj) + CGAL::square(yj); in Constructive_orientation8_C2()
192 Eyp = determinant(yj, pj, yk, pk); in Constructive_orientation8_C2()
195 Exy = determinant(xj, yj, xk, yk); in Constructive_orientation8_C2()
197 Eyr = determinant(yj, rj, yk, rk); in Constructive_orientation8_C2()
203 A = (-Eyp * xj + Exp * yj) * Erp; in Constructive_orientation8_C2()
[all …]
/dports/comms/liquid-dsp/liquid-dsp-1.3.2/sandbox/
H A Dhouseholder_test.c68 float yj[n]; in main() local
156 for (j=0; j<k; j++) yj[j] = 0.0; in main()
160 yj[j] = 0.0; in main()
165 yj[k+1] = yk1; in main()
174 yj[j] = dk*a1_kj; in main()
180 matrixf_mul_transpose(yj,n,1,yjyjT); in main()
189 printf("yj:\n"); matrixf_print(yj, n,1); in main()
/dports/misc/vxl/vxl-3.3.2/contrib/mul/vil3d/
H A Dvil3d_resample_trilinear.hxx111 double xj=xk, yj=yk, zj=zk; // Start of k-th slice in vil3d_resample_trilinear_edge_extend() local
115 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear_edge_extend()
131 double xj=xk, yj=yk, zj=zk; // Start of k-th slice in vil3d_resample_trilinear_edge_extend() local
135 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear_edge_extend()
158 double xj=xk, yj=yk, zj=zk; // Start of k-th slice in vil3d_resample_trilinear_edge_extend() local
162 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear_edge_extend()
183 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear_edge_extend()
282 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear()
302 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear()
329 double x=xj, y=yj, z=zj; // Start of j-th row in vil3d_resample_trilinear()
[all …]
/dports/math/casadi/casadi-3.5.5/external_packages/casadi-sundials/idas/
H A Didas_direct.c357 realtype inc, inc_inv, yj, ypj, srur, conj; in idaDlsDenseDQJac() local
391 yj = y_data[j]; in idaDlsDenseDQJac()
401 inc = (yj + inc) - yj; in idaDlsDenseDQJac()
406 if (SUNRabs(conj) == ONE) {if((yj+inc)*conj < ZERO) inc = -inc;} in idaDlsDenseDQJac()
407 else if (SUNRabs(conj) == TWO) {if((yj+inc)*conj <= ZERO) inc = -inc;} in idaDlsDenseDQJac()
426 y_data[j] = yj; in idaDlsDenseDQJac()
458 realtype inc, inc_inv, yj, ypj, srur, conj, ewtj; in idaDlsBandDQJac() local
510 yj = y_data[j]; in idaDlsBandDQJac()
521 inc = (yj + inc) - yj; in idaDlsBandDQJac()
549 yj = ytemp_data[j] = y_data[j]; in idaDlsBandDQJac()
[all …]
/dports/math/blis/blis-0.8.1/frame/include/level0/
H A Dbli_scal2s_mxn.h58 ctype* restrict yj = y + j*cs_y; \
63 ctype* restrict yij = yj + i*rs_y; \
74 ctype* restrict yj = y + j*cs_y; \
79 ctype* restrict yij = yj + i*rs_y; \
/dports/science/dftbplus/dftbplus-19.1/external/poisson/
H A Dfancybc.F90229 x_x = yj
235 z_z = yj
238 y_y = yj
437 real(kind=dp) :: xi,yj,zk local
485 if ( (d_cntr.ge.Rmin_Gate).and.(yj.ge.y_min_gate).and.(yj.le.y_max_gate) ) then
633 real(kind=dp) :: xi,yj,zk local
652 y_y = yj
660 x_x = yj
670 y_y = yj
849 yj = ymin + (i - 1)*dy
[all …]
/dports/science/pcmsolver/pcmsolver-1.3.0/src/metal/
H A Dmetal_sphere.F9068 xi, yi, zi, xj, yj, zj)
74 real(kind=dp), intent(in) :: xj, yj, zj ! Probe point local
87 dj = sqrt((xj - xs)**2 + (yj - ys)**2 + (zj - zs)**2)
93 gc = qim / sqrt((xj - xim)**2 + (yj - yim)**2 + (zj - zim)**2)
94 gc = gc - qim / sqrt((xj - xs)**2 + (yj - ys)**2 + (zj - zs)**2)
95 cost = (xj - xs) * (xi - xs) + (yj - ys) * (yi - ys) + (zj - zs) * (zi - zs)
/dports/www/grafana8/grafana-8.3.6/vendor/gonum.org/v1/gonum/mat/
H A Dshadow_test.go99 for yj := 0; yj < 2; yj++ {
106 y := a.Slice(yi, yi+2, yj, yj+2).(*Dense)
111 xi, xj, yi, yj, Formatted(x), Formatted(y),
/dports/science/nwchem-data/nwchem-7.0.2-release/src/dimqm/
H A Ddimqm_screening.F119 double precision xj, yj, zj
148 yj = dimxyz(2)
153 rr = (xi - xj)**2 + (yi - yj)**2 + (zi - zj)**2
184 ay = (ayi + aj*yj)*aa1
198 $ xj, yj, zj, i, j, t,
228 subroutine dimqm_overlap(x0, y0, z0, xi, yi, zi, xj, yj, zj, argument
236 double precision xj, yj, zj
269 by = pty-yj

12345678910>>...133