Home
last modified time | relevance | path

Searched refs:delay (Results 126 – 150 of 1862) sorted by relevance

12345678910>>...75

/freebsd/sys/contrib/device-tree/Bindings/input/
H A Dnvidia,tegra20-kbc.txt29 - nvidia,debounce-delay-ms: delay in milliseconds per row scan for debouncing
30 - nvidia,repeat-delay-ms: delay in milliseconds before repeat starts
45 nvidia,debounce-delay-ms = <640>;
/freebsd/sbin/nvmecontrol/
H A Dsanitize.c114 int sanact = 0, fd, delay = 1; in sanitize() local
198 if (delay < 16) in sanitize()
199 delay++; in sanitize()
200 sleep(delay); in sanitize()
212 if (delay > 1) in sanitize()
/freebsd/sys/contrib/device-tree/src/arm64/allwinner/
H A Dsun50i-h6-pine-h64.dts68 startup-delay-us = <100000>;
78 startup-delay-us = <100000>;
106 allwinner,rx-delay-ps = <200>;
107 allwinner,tx-delay-ps = <200>;
188 regulator-enable-ramp-delay = <100000>;
248 regulator-ramp-delay = <2500>;
253 regulator-enable-ramp-delay = <32000>;
256 regulator-ramp-delay = <2500>;
H A Dsun50i-h6-orangepi-one-plus.dts20 startup-delay-us = <100000>;
33 allwinner,rx-delay-ps = <200>;
34 allwinner,tx-delay-ps = <200>;
/freebsd/tools/test/stress2/misc/
H A Dcollapse.sh79 time_t run, delay;
101 delay = arc4random() % (CHILDTIME - 1);
102 run = arc4random() % (CHILDTIME - delay);
104 if (time(NULL) >= start + delay)
/freebsd/sys/contrib/dev/iwlwifi/mvm/
H A Dtdls.c210 unsigned int delay; in iwl_mvm_rx_tdls_notif() local
239 delay = TU_TO_MS(vif->bss_conf.dtim_period * vif->bss_conf.beacon_int); in iwl_mvm_rx_tdls_notif()
241 msecs_to_jiffies(delay)); in iwl_mvm_rx_tdls_notif()
461 unsigned int delay; in iwl_mvm_tdls_ch_switch_work() local
497 schedule_delayed_work(&mvm->tdls_cs.dwork, msecs_to_jiffies(delay)); in iwl_mvm_tdls_ch_switch_work()
511 unsigned int delay; in iwl_mvm_tdls_channel_switch() local
557 delay = 2 * TU_TO_MS(vif->bss_conf.dtim_period * in iwl_mvm_tdls_channel_switch()
560 msecs_to_jiffies(delay)); in iwl_mvm_tdls_channel_switch()
630 unsigned int delay; in iwl_mvm_tdls_recv_channel_switch() local
675 delay = vif->bss_conf.dtim_period * vif->bss_conf.beacon_int * in iwl_mvm_tdls_recv_channel_switch()
[all …]
/freebsd/sys/contrib/device-tree/src/arm64/freescale/
H A Dfsl-ls1043a-rdb.dts107 fsl,spi-cs-sck-delay = <100>;
108 fsl,spi-sck-cs-delay = <100>;
115 fsl,spi-cs-sck-delay = <100>;
116 fsl,spi-sck-cs-delay = <50>;
123 fsl,spi-cs-sck-delay = <100>;
124 fsl,spi-sck-cs-delay = <50>;
H A Dimx8qm.dtsi303 polling-delay-passive = <250>;
304 polling-delay = <2000>;
334 polling-delay-passive = <250>;
335 polling-delay = <2000>;
363 polling-delay-passive = <250>;
364 polling-delay = <2000>;
383 polling-delay-passive = <250>;
384 polling-delay = <2000>;
403 polling-delay-passive = <250>;
404 polling-delay = <2000>;
H A Dtqmls104xa-mbls10xxa-fman.dtsi72 ti,rx-internal-delay = <DP83867_RGMIIDCTL_1_50_NS>;
73 ti,tx-internal-delay = <DP83867_RGMIIDCTL_1_50_NS>;
80 ti,rx-internal-delay = <DP83867_RGMIIDCTL_1_50_NS>;
81 ti,tx-internal-delay = <DP83867_RGMIIDCTL_1_50_NS>;
/freebsd/sys/contrib/device-tree/Bindings/regulator/
H A Dfixed-regulator.yaml82 startup-delay-us:
85 off-on-delay-us:
86 description: off delay time in microseconds
124 startup-delay-us = <70000>;
136 startup-delay-us = <70000>;
148 startup-delay-us = <70000>;
H A Drichtek,rtmv20-regulator.yaml36 richtek,ld-pulse-delay-us:
38 load current pulse delay in microsecond after strobe pin pulse high.
50 richtek,fsin1-delay-us:
52 Fsin1 pulse high delay in microsecond after vsync signal pulse high.
64 richtek,fsin2-delay-us:
66 Fsin2 pulse high delay in microsecond after vsync signal pulse high.
/freebsd/sys/contrib/device-tree/src/arm64/hisilicon/
H A Dhi6220-hikey.dts121 post-power-on-delay-ms = <10>;
122 power-off-delay-us = <10>;
181 regulator-enable-ramp-delay = <120>;
188 regulator-enable-ramp-delay = <120>;
195 regulator-enable-ramp-delay = <360>;
202 regulator-enable-ramp-delay = <120>;
209 regulator-enable-ramp-delay = <120>;
218 regulator-enable-ramp-delay = <120>;
225 regulator-enable-ramp-delay = <120>;
232 regulator-enable-ramp-delay = <360>;
[all …]
/freebsd/sys/contrib/device-tree/src/arm64/rockchip/
H A Drk3588-turing-rk1.dtsi45 startup-delay-us = <5000>;
133 regulator-ramp-delay = <2300>;
150 regulator-ramp-delay = <2300>;
173 regulator-ramp-delay = <2300>;
340 regulator-ramp-delay = <12500>;
354 regulator-ramp-delay = <12500>;
367 regulator-ramp-delay = <12500>;
381 regulator-ramp-delay = <12500>;
394 regulator-ramp-delay = <12500>;
418 regulator-ramp-delay = <12500>;
[all …]
H A Drk3588s-orangepi-5.dts90 startup-delay-us = <50000>;
163 regulator-ramp-delay = <2300>;
180 regulator-ramp-delay = <2300>;
201 regulator-ramp-delay = <2300>;
359 regulator-ramp-delay = <12500>;
373 regulator-ramp-delay = <12500>;
386 regulator-ramp-delay = <12500>;
400 regulator-ramp-delay = <12500>;
413 regulator-ramp-delay = <12500>;
439 regulator-ramp-delay = <12500>;
[all …]
H A Drk3588-edgeble-neu6b.dtsi127 regulator-ramp-delay = <12500>;
128 regulator-enable-ramp-delay = <400>;
141 regulator-ramp-delay = <12500>;
154 regulator-ramp-delay = <12500>;
169 regulator-ramp-delay = <12500>;
182 regulator-ramp-delay = <12500>;
206 regulator-ramp-delay = <12500>;
293 regulator-ramp-delay = <12500>;
306 regulator-ramp-delay = <12500>;
/freebsd/contrib/tcpdump/
H A Dprint-igrp.c71 u_int delay, bandwidth; in igrp_entry_print() local
74 delay = GET_BE_U_3(igr->igr_dly); in igrp_entry_print()
76 metric = ND_MIN(bandwidth + delay, 0xffffff); in igrp_entry_print()
80 10 * delay, bandwidth == 0 ? 0 : 10000000 / bandwidth, in igrp_entry_print()
/freebsd/usr.sbin/spray/
H A Dspray.c65 int delay = 0; in main() local
75 delay = atoi(optarg); in main()
151 if (delay) { in main()
152 usleep(delay); in main()
/freebsd/contrib/tcsh/
H A Dvms.termcap.c339 unsigned long delay = 0; in tputs() local
344 for (delay = 0; *cp && ISDIGIT(*cp) ; cp++) in tputs()
345 delay = delay * 10 + *cp - '0'; in tputs()
349 usleep(delay*100); /* strictly spoken, it should be *1000 */ in tputs()
/freebsd/stand/forth/
H A Ddelay.4th26 marker task-delay.4th
28 vocabulary delay-processing
29 only forth also delay-processing definitions
31 2 constant delay_default \ Default delay (in seconds)
36 variable delay_tstart \ state variable used for delay timing
37 variable delay_delay \ determined configurable delay duration
41 only forth definitions also delay-processing
/freebsd/sys/contrib/device-tree/Bindings/mmc/
H A Dmtk-sd.yaml94 hs400-ds-delay:
97 HS400 DS delay setting.
101 mediatek,hs200-cmd-int-delay:
104 HS200 command internal delay setting.
110 mediatek,hs400-cmd-int-delay:
113 HS400 command internal delay setting.
129 Gear of the third delay line for DS for input data latch in data
151 Some SoCs need extend tuning step for better delay value to avoid CRC issue.
335 hs400-ds-delay = <0x14015>;
336 mediatek,hs200-cmd-int-delay = <26>;
[all …]
/freebsd/contrib/sendmail/contrib/
H A Dmailprio440 # weight the result based on the overall delay.
445 # between adjacent delay values.
481 X local($to, $delay);
489 X ($delay) = (m/ delay=([^,]*),/);
490 X next unless $delay;
491 X ($h, $m, $s) = split(/:/, $delay);
492 X $delay = ($h * 60 * 60) + ($m * 60) + $s;
499 X # Now weight the delay factor by the transaction delay (xdelay).
503 X $delay *= $xdelay; # weight delay by xdelay
507 X $delay = 432000; # force 5 days
[all …]
/freebsd/usr.bin/systat/
H A Dcmds.c68 delay = 0; in command()
90 delay = (unsigned int)t; in command()
103 delay = (unsigned int)t; in command()
179 curcmd->c_name, delay / 1000000); in status()
/freebsd/sys/contrib/device-tree/Bindings/memory-controllers/
H A Dti,gpmc-child.yaml95 description: Multiple access word delay
99 description: Start-cycle to first data valid delay
114 gpmc,cycle2cycle-delay-ns:
128 gpmc,adv-extra-delay:
132 gpmc,cs-extra-delay:
138 Add "cycle2cycle-delay" between successive accesses
144 Add "cycle2cycle-delay" between successive accesses
148 gpmc,oe-extra-delay:
152 gpmc,we-extra-delay:
/freebsd/sys/contrib/device-tree/src/arm64/marvell/
H A Darmada-ap80x.dtsi320 polling-delay-passive = <0>; /* Interrupt driven */
321 polling-delay = <0>; /* Interrupt driven */
337 polling-delay-passive = <1000>;
338 polling-delay = <1000>;
370 polling-delay-passive = <1000>;
371 polling-delay = <1000>;
403 polling-delay-passive = <1000>;
404 polling-delay = <1000>;
436 polling-delay-passive = <1000>;
437 polling-delay = <1000>;
/freebsd/share/examples/ses/srcs/
H A Dchpmon.c51 int fd, delay, dev; in main() local
59 delay = atoi(v[1]); in main()
122 sleep(delay); in main()

12345678910>>...75