1// 2// Copyright 2011 Ettus Research LLC 3// 4// This program is free software: you can redistribute it and/or modify 5// it under the terms of the GNU General Public License as published by 6// the Free Software Foundation, either version 3 of the License, or 7// (at your option) any later version. 8// 9// This program is distributed in the hope that it will be useful, 10// but WITHOUT ANY WARRANTY; without even the implied warranty of 11// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 12// GNU General Public License for more details. 13// 14// You should have received a copy of the GNU General Public License 15// along with this program. If not, see <http://www.gnu.org/licenses/>. 16// 17 18 19module add2_and_round_reg 20 #(parameter WIDTH=16) 21 (input clk, 22 input [WIDTH-1:0] in1, 23 input [WIDTH-1:0] in2, 24 output reg [WIDTH-1:0] sum); 25 26 wire [WIDTH-1:0] sum_int; 27 28 add2_and_round #(.WIDTH(WIDTH)) add2_n_rnd (.in1(in1),.in2(in2),.sum(sum_int)); 29 30 always @(posedge clk) 31 sum <= sum_int; 32 33endmodule // add2_and_round_reg 34