1// -*- mode: C++; c-file-style: "cc-mode" -*-
2//*************************************************************************
3//
4// Code available from: https://verilator.org
5//
6// Copyright 2003-2021 by Wilson Snyder. This program is free software; you can
7// redistribute it and/or modify it under the terms of either the GNU
8// Lesser General Public License Version 3 or the Perl Artistic License
9// Version 2.0.
10// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
11//
12//*************************************************************************
13///
14/// \file
15/// \brief Verilator program version information header
16///
17//*************************************************************************
18
19/// Verilator product name, e.g. "Verilator"
20// Autoconf substitutes this with the strings from AC_INIT.
21#define VERILATOR_PRODUCT "@PACKAGE_NAME@"
22
23/// Verilator version name, e.g. "1.000 2000-01-01"
24// Autoconf substitutes this with the strings from AC_INIT.
25#define VERILATOR_VERSION "@PACKAGE_VERSION@"
26