1reg x;
2reg y;
3module cases();
4  always @(*) begin
5    case (cond)
6      1: ;
7      default ;
8    endcase
9    casex (cond)
10      1,
11      2: ;
12      default: ;
13    endcase
14    casez (cond)
15      1,
16      2: x = 1;
17      default y = 2;
18    endcase
19  end
20endmodule
21