1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed under the Creative Commons Public Domain, for
4// any use, without warranty, 2020 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7class RecursiveExtCls extends RecursiveExtCls;
8   int i;
9endclass
10
11module t (/*AUTOARG*/);
12   RecursiveExtCls cls = new;
13endmodule
14